- Home
- Publications
- Transactions on Electron Devices
- Editor-in-Chief and Editors
Editor-in-Chief and Editors
Giovanni Ghione - Editor-in-Chief Giovanni Ghione graduated cum laude in Electronic Engineering from Politecnico di Torino, Torino Italy in 1981. He was Assistant Professor in Electromagnetic Fields since 1983, Associate Professor in Circuit Theory with Politecnico di Milano, Milano Italy since 1987, and finally Full Professor in Electronics since 1990, first with University of Catania, then again with Politecnico di Torino. His research activity has been mainly concerned with high-frequency electronics and optoelectronics. He has contributed to the physics-based modelling of compound semiconductor devices, with particular interest in the numerical noise modeling in the small- and large-signal regimes, in the thermal modeling of devices and integrated circuits, and in the modeling of widegap semiconductors devices and materials. He has also done research in the field of microwave electronics, with contributions in the modeling of passive elements, in particular coplanar components, and in the design of power MMICs. Prof. Ghione was actively engaged since 1985 in research on optoelectronic devices, with application to the modeling and design of near and far-IR photodetectors, electrooptic and electroabsorption modulators, and GAN-based LEDs. Prof. Ghione has authored or co-authored more than 300 research papers on the above subjects and five books. He is an IEEE Fellow (class 2007). He has been a member of the QPC subcommitee of IEDM in 1997-1998 and in 2006-2007 and Chair in 2008; in 2009-2010 he was the EU Arrangement Co-Chair of IEDM. From 2010 to 2015 he has been chair of the EDS Committee on Compound Semiconductor Devices and Circuits. He has been Chair of the GAAS2003 conference and he has been subcommittee chair in several SCs of the European Microwave Week. He was President of the Library System of Politecnico from 1997 to 2007. From 2007 to 2015 he was the Head of the Department of Electronics and Telecommunications of Politecnico di Torino.T-ED Editor-in-Chief
Department of Electronics and Telecommunications
T-ED Editors
David Abe - Vacuum Electron Devices

David K. Abe (M’88–SM’12) received the B.Sc. degree in engineering from Harvey Mudd College in 1981, M.S. in electrical engineering from the University of California, Davis in 1988, and Ph.D. in electrical engineering/electrophysics from the University of Maryland in 1992. Since 1997, he has been at the U.S. Naval Research Laboratory (NRL), Washington, DC, where he directs a multidisciplinary group of scientists and engineers as head of the Electromagnetics Technology Branch. The Branch carries out research and exploratory development on radio-frequency concepts, materials, devices, components, and circuits in the frequency range of 1 MHz to approximately 1 THz with focused efforts in wide and narrow bandgap semiconductor electronics, carbon-based and other novel lower dimensional electronic materials, tunable and reconfigurable materials and circuits, control components, electron emission physics, electron beam-wave interactions (vacuum electronics), and electromagnetic theory and computational techniques. Dr. Abe’s current research involves the generation of coherent microwave and millimeter-wave radiation resulting from the interaction of axially-streaming electron beams with novel electromagnetic structures, with a particular emphasis on multiple-beam devices. Prior to NRL, Dr. Abe worked on interdisciplinary projects in pulsed power, explosive-driven magnetic flux compression, high power microwave generation, and electromagnetic effects at the Lawrence Livermore National Laboratory, Berkeley Research Associates, and the U.S. Army Research Laboratory (ARL), Adelphi, MD. He was a co-guest editor of the IEEE Transactions on Plasma ScienceTenth Special Issue on High Power Microwave Generation and co-edited the Proceedings of the 7th Workshop on High Energy Density and High Power RF (RF2005). He served as the Technical Chair of the IEEE International Conference on Vacuum Electronics (IVEC) in 2012 and as the General Chair of IVEC 2014. He is a member of the IEEE Electron Devices Society Technical Committee on Vacuum Electronics, was an elected member of the IEEE Nuclear and Plasma Sciences Society (NPSS) Administrative Committee from 2008 to 2011, and served multiple terms as an elected member of the NPSS Plasma Science and Applications Executive Committee (2005–2007, 2008–2011, 2013–2015). He was a recipient of a Thomas J. Watson Fellowship, two NRL Technology Transfer Awards, and numerous official commendations and distinguished contribution awards from the Army and Navy.
Syed Alam

Syed M. Alam is the Director of Design engineering at Everspin Technologies leading the design functional areas and path finding for roadmap and technology advancement for STT-MRAM. He has worked on various aspects of memory device and design including array circuits and architecture, and new product introduction supporting test, reliability, bitcell characterization, and high-speed interface characterization for STT-MRAM. Dr. Alam received his BS degree in Electrical Engineering from UT Austin in 1999, MS and PhD degrees in Electrical Engineering and Computer Science from MIT in 2001 and 2004, respectively. He has mentored/co-advised 5 PhD students for research on 3D integration and logic-in-memory architecture. Dr. Alam has over 80 issued US patents, and over 65 journal/conference publications.
Khairul Alam - Compound Semiconductor Devices

Khairul Alam is a professor in the department of Electrical and Electronic Engineering at East West University, Dhaka, Bangladesh, where he has been a faculty member since 2007. His research interests lie in the area of physics, modeling, and quantum simulation of nano-scale MOSFETs and tunnel FET of III-V materials, compound semiconductors, heterostructures, and two dimensional materials and emerging semiconductor materials. Prof. Alam completed his Ph.D. at the University of California Riverside, USA and his MS and BS studies at Bangladesh University of Engineering and Technology (BUET), Bangladesh. He spent two years at the University of Tokyo, Japan as a post-doctoral research fellow and summer of 2006 at Intel, New York, USA as an intern. He published a book chapter, 34 journal papers and 26 conference papers. He received University Grants Commission award in 2009 for his research on graphene nanoribbon transistor. In addition to research, Prof. Alam teaches electronic and optoelectronic courses at the university. He served the director position of institutional quality assurance cell of East West University for three years. During his period, seven departments of East West University completed self-assessment report and external peer review. He served the external peer review team for quality assurance of a few universities in Bangladesh. He is also involved in outcome based education (OBE) and served the accreditation team of Board of Accreditation for Engineering and Technical Education (BAETE) for accreditation of engineering program in Bangladesh.
Manjeri (Anant) Anantram - Emerging Technologies
M. P. Anantram (Anant) is a Professor of Electrical Engineering, and an Adjunct Professor of Physics at University of Washington. Anantram’s group at the University of Washington works on the study of charge transport in biological molecules, formation of filaments and phase change in devices, and on theory and algorithms for modeling nanoscale materials and devices. His group has developed some of the fastest methods to calculate electron density and current in devices using direct methods based on the NEGF approach. His group has also performed novel computational studies on the electromechanical properties of quasi one-dimensional nanowires and demonstrated the role of drain-end scattering in nanotransistors. His research efforts have predicted a significant chirality dependent bandgap change in carbon nanotubes and a large change in spontaneous emission rates in silicon nanowires with strain. More recently, his group is involved in developing methods to understand charge transport in biological molecules and their application in electronic devices and electrical methods for disease detection and sequencing. Anantram earned his B.Sc. in Applied Science from P.S.G. College of Technology (1983), M.Sc. in Physics from University of Pune (1989), and Ph.D. in Electrical Engineering from Purdue University (1995). Prior to joining University of Washington, his experience included working at the NASA’s Center for Nanotechnology and serving as Professor of Electrical Engineering at the University of Waterloo. Anantram has also served as an Associate Editor for IEEE Transactions on Nanotechnology and co-chair of Modeling and Simulation Committee of the IEEE Nanotechnology Council.
Farrokh Ayazi - Solid State Sensors and Actuators

Can Bayram - Optoelectronic Devices

Prof. Can Bayram is an Assistant Professor in the Department of Electrical and Computer Engineering of University of Illinois at Urbana-Champaign, IL, USA. He is an expert in III-V materials and photonic and electronic devices. He has performed more than 3,000+ epitaxial growths with metalorganic chemical vapor deposition (MOCVD) systems and fabricated detectors, light emitting diodes, solar cells, resonant tunneling diodes, and transistors in class 100 and 1000 cleanrooms totaling 20,000+ hrs equipment usage. His current research interests lie in the intersection of novel III-V materials, hetero-structures, and photonic and electronic quantum devices. Particularly, his research group explores novel materials, devices, and their 3D hetero-integration on unconventional platforms such as graphene and silicon and investigates heat transport across/through semiconductors; efficiency droop mechanisms and remedies in AlInGaN emitters; and ultra-fast THz photonics/electronics. Prof. Bayram’s work has been recognized widely. He is the recipient of the 2018 IUPAP Young Scientist Prize in Optics, 2018 IEEE Nanotechnology Council Early Career Award, a 2018 Dean’s Award for Excellence in Research for Assistant Professor, a 2018 Turkish American Scientists & Scholars Association Young Scholar Award, a 2017 NSF CAREER Award, the 2017 CS Mantech Best Student Paper Award, a 2016 AFOSR Young Investigator Award, the 2014 IEEE Electron Devices Society Early Career Award, and the Best Paper Award at the 11th International Conference on Infrared Optoelectronics. For his achievements in ultraviolet-to-terahertz engineering of III-V semiconductor materials and devices, OSA, SPIE, and IEEE recognized him with senior member status. Prof. Bayram worked as a Postdoctoral Research Scientist in the Silicon Technologies Division at the IBM Thomas J. Watson Research Center, Yorktown Heights, NY, USA from 2011 till 2014. His postdoctoral work at IBM on a novel means of thin film technology achieved record-breaking specific power solar cells and was featured on the cover of Advanced Energy Materials. He has – for the first time – integrated GaN-based devices on CMOS-compatible silicon substrates. This work was highlighted as the frontispiece in the Advanced Functional Materials issue. He demonstrated direct epitaxy of GaN on Graphene for the first time, as published in Nature Communications. Prof. Bayram received the Ph.D. degree from Prof. Manijeh Razeghi, Center for Quantum Devices, EECS of Northwestern University, IL, USA with a focus on Solid State and Photonics in 2011. His thesis work has demonstrated the first ultraviolet regime single photon detection, the first hybrid LED, and the first GaN intersubband devices. He received IEEE Electron Devices and IEEE Photonics Societies’ fellowship awards and the Laser Technology, Engineering and Applications Award from SPIE. He was an IBM and Link Foundation PhD fellow and the recipient of Boeing Engineering and Dow Sustainability Innovation awards.
Monica Blank - Dr.

Microwave Power Products Division
Mario Caironi - Dr.

Richard G. Carter - Vacuum Electron Devices

Yogesh Singh Chauhan - Device and Process Modeling

Talk titles:
· Modeling and Simulation of Negative Capacitance Transistors
· Compact Modeling of GaN HEMTs using industry standard ASM-HEMT model
· Physics and Modeling of FinFET and Nanosheet Transistors
· Analog and RF Modeling in BSIM-BULK model
· Physics and Modeling of FDSOI Transistors
Yogesh Singh Chauhan is an associate professor at Indian Institute of Technology Kanpur (IITK), India. He was with Semiconductor Research & Development Center at IBM Bangalore during 2007 – 2010; Tokyo Institute of Technology in 2010; University of California Berkeley during 2010-2012; and ST Microelectronics during 2003-2004. He is the developer of several industry standard models: ASM-GaN-HEMT model, BSIM-BULK model (formerly BSIM6), BSIM-CMG model and BSIM-IMG model. His research group is involved in developing compact models for GaN transistors, FinFET, Nanosheet/Gate-All-Around FETs, FDSOI transistors, Negative Capacitance FETs and 2D FETs. His research interests are characterization, modeling, and simulation of semiconductor devices.
He is the Editor of IEEE Transactions on Electron Devices and Distinguished Lecturer of the IEEE Electron Devices Society. He is the member of IEEE-EDS Compact Modeling Committee and fellow of Indian National Young Academy of Science (INYAS). He is the founding chairperson of IEEE Electron Devices Society U.P. chapter and Vice-chairperson of IEEE U.P. section. He has published more than 200 papers in international journals and conferences.
He received Ramanujan fellowship in 2012, IBM faculty award in 2013 and P. K. Kelkar fellowship in 2015, CNR Rao faculty award, Humboldt fellowship and Swarnajayanti fellowship in 2018. He has served in the technical program committees of IEEE International Electron Devices Meeting (IEDM), IEEE International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), IEEE European Solid-State Device Research Conference (ESSDERC), IEEE Electron Devices Technology and Manufacturing (EDTM), and IEEE International Conference on VLSI Design and International Conference on Embedded Systems.
Kevin J. Chen - Compound Semiconductor Devices

Prof. Kevin Chen received his B.S. degree from Peking University, Beijing, China in 1988, and PhD degree from University of Maryland, College Park, USA in 1993. His industry experience includes carrying out research and development on III-V high-speed devices in NTT LSI Laboratories, Atsugi, Japan and Agilent Technologies Inc., Santa Clara, California, USA. Prof. Chen joined Hong Kong University of Science and Technology (HKUST) in 2000, where he is currently a professor in the Department of Electronic and Computer Engineering. At HKUST, he has carried out research in wide-bandgap III-nitride devices and ICs, GaN-based MEMS devices, silicon-based microwave passive components and 3D through-silicon via interconnects, and multi-band reconfigurable microwave filters. Currently, his group is focused on developing GaN device technologies for power electronics and high-temperature electronics applications. Prof. Chen has authored or co-authored more than 280 publications in international journals and conference proceedings. He has been granted 4 US patents. He currently serves as a distinguished lecturer and a member in the compound semiconductor device and IC technology committee in IEEE Electron Device Society.
Kyung Cheol Choi - Display Technology

Srabanti Chowdhury

Srabanti Chowdhury (George and Ida Mary Hoover faculty fellow) is an associate professor of Electrical Engineering (EE) at Stanford. Her research focuses on wideband gap (WBG) materials and device engineering for energy efficient and compact system architecture for power electronics, and RF applications. Besides Gallium Nitride, her group is exploring Diamond for various electronic applications. She received her B.Tech in India in Radiophysics and Electronics (Univ. of Calcutta) and her M.S and PhD in Electrical Engineering from University of California, Santa Barbara. She received the DARPA Young Faculty Award, NSF CAREER and AFOSR Young Investigator Program (YIP) in 2015. In 2016 she received the Young Scientist award at the International Symposium on Compound Semiconductors (ISCS). Among her various synergistic activities, she serves as the member of two committees under IEEE Electron Device Society (Compound Semiconductor Devices & Circuits Committee Members and Power Devices and ICs Committee). She has served the IEEE International Electron Devices Meeting (IEDM) technical sub committee on Power Devices & Compound Semiconductor and High-Speed Devices (PC) sub-committee in 2016 and 2017. She was the PC subcommittee chair for IEDM-2018 and continues to serve the IEDM executive committee since 2019. She is a senior member of IEEE, NAE-FOE alumni, and Gabilan fellow. Her work has produced over 75 journal papers, 100 conference presentations including 2 plenaries and over 30 invited, and 20 issued patents.
Srabanti Chowdhury

Christian Monzio Compagnoni - Memory Devices and Technology

John F. Conley

John F. Conley, Jr. received the B.S. in Electrical Engineering (1991) and a Ph.D. in Engineering Science and Mechanics (1995) from The Pennsylvania State University where he won a Xerox award for his PhD dissertation. Since 2007, Dr. Conley is a Professor of both Electrical Engineering and Computer Science and Materials Science at Oregon State University where he is the Director of the Materials Synthesis and Characterization (MASC) facility. He has also engaged as a technical consultant and expert witness. Previously, he was a senior member of the technical staff at both Dynamics Research Corporation and the NASA Jet Propulsion Laboratory, the Leader of the Novel Materials and Devices Group at Sharp Laboratories of America, and an adjunct professor at Washington State University.
He has served on the technical and organizing committees of numerous IEEE (IRPS, IRW, SOI, IEDM, NSREC, Nano, MRQW), AVS, and MRS meetings; as Program Chair of the AVS International Conference on Atomic Layer Deposition (ALD) and IEEE IRW; and multiple times as guest editor for IEEE T-DMR.
Dr. Conley's current research interests include atomic layer deposition (ALD) development of novel materials for application in metal/insulator/metal devices (MIM & MIIM tunnel diodes), MIM high-κ capacitors, and RRAM) and amorphous oxide semiconductor thin film transistors (TFTs), internal photoemission (IPE), nanomaterials, and sensors.
Dr. Conley has authored or co-authored over 150 journal and/or conference papers; over 160 additional conference presentations (including two tutorial short courses and more than 20 invited talks at international conferences); more than 40 invited talks at universities, government labs, and companies; and 20 U.S. patents.
He is a Fellow of the IEEE, the American Vacuum Society (AVS), and the Oregon Nanoscience and Microtechnologies Institute (ONAMI).
Pei-Ying (Penny) Du - Memory Devices and Technology

Emerging Central Lab.
Pei-Ying Du received her B.S. degree in engineering and system science from National Tsing-Hua University (NTHU), Hsinchu, Taiwan, in 2004, and Ph.D. degree in electrical engineering from National Chiao-Tung University (NCTU), Hsinchu, Taiwan, in 2009. In 2003, she won College Student Research Creativity Award from the National Science Council, Taiwan, and also won Kwoh-Ting Li Scholarship Award and King Kai-Yung Scholarship Award from CICT Foundation in 2004 and 2006, respectively. Her Ph.D. thesis was awarded by Honorable Mention of Institude of Electronics of NCTU in 2009. She joined the Emerging Central Lab. (ECL) in Macronix International Co., Ltd. (MXIC), Hsinchu, Taiwan, in 2006, where she engaged in the theoretical modeling and reliability physics of nitride trapping Flash memory. From March, 2010 to Jan., 2012, she was assigned to IBM/Macronix Phase Change Memory (PCM) Joint Project in IBM T.J. Watson Research Center, NY, and engaged in PCM reliability. She currently is the project deputy department manager of nano-technology R&D department and engages in developing 3D nitride trapping NAND Flash memory. Dr. Du has published more than 40 papers in the premier semiconductor conferences and journals including IEDM, Symposium on VLSI Technology, IRPS, IMW, T-ED, and etc. She is well-recognized in memory reliability territory because of her unique insights into the operations of nitride trapping memories. Dr. Du served in the program committee of International Memory Workshop (IMW) from 2012 to 2016, and she was also the Local Chair and the Short Course Chair of IMW, in 2014 and 2015, respectively. Now, she is the member of the Memory Technology (MT) sub-committee at International Electron Devices Meeting (IEDM).
Charvaka Duvvury - Fellow

Lecture Topics: ESD and Latchup Reliability
Patrick Fay - Fellow

Patrick Fay received a B.S. degree in Electrical Engineering from the University of Notre Dame in 1991, followed by the M.S. and Ph.D. degrees in Electrical Engineering from the University of Illinois at Urbana-Champaign in 1993 and 1996, respectively. He joined the faculty of the Department of Electrical Engineering at the University of Notre Dame in 1997, where he currently a professor as well as the director of the Notre Dame Nanofabrication Facility. His research interests include the design, fabrication, and characterization of III-V microwave and millimeter-wave electronic devices and circuits, power devices, and high-speed optoelectronic devices and optoelectronic integrated circuits. His research also includes the development and use of micromachining techniques for the fabrication of microwave and millimeter-wave components and packaging. Prof. Fay was awarded the Department of Electrical Engineering’s Outstanding Teacher award in 1998 and 2018, and Notre Dame's College of Engineering’s Outstanding Teacher award in 2015. He is a fellow of the IEEE, and Electron Device Society Distinguished Lecturer, and serves as an associate editor of the IEEE Transactions on Components, Packaging and Manufacturing Technology, IEEE Transactions on Electron Devices, and IEEE Transactions on Microwave Theory and Techniques.
Lecture Topics
- III-N Devices and Integration for Millimeter-Wave and Power Applications
- Vertical GaN Devices and Epitaxial Lift-Off Processing for High Performance Power Applications
- Advances in III-N Devices for Power and Internet of Things Applications
- III-N Nanowire FETs for Low-Power Applications
- Advanced Tunneling-Based Devices for mm-Wave Sensing and Imaging
Jinjun Feng - Dr.

Vacuum Electronics National Laboratory
Jinjun Feng (M’94–SM’06) received the bachelor’s degree from Tsinghua University, Beijing, China, in 1988, and the master’s and Ph.D. degrees from the Beijing Vacuum Electronics Research Institute (BVERI), in 1990 and 2001, respectively. Since 1990, he has been with BVERI and has been involved with research and development of millimeter-wave space TWTs, high frequency devices using microfabrication, gyrotrons, field emission devices, and cesium tubes, where he is currently the Vice Director-General.
Dr. Feng has been a member of IEEE Electron Devices Society (EDS) Vacuum Electronics Technical Committee since 2010. He was the Chair of the IEEE EDS Beijing Chapter from 2011 to 2012 and the Chair of IEEE Beijing Section from 2014 to 2015, and has been the Chair of the IEEE China Council since 2016. He was a TPC Chair of the 16th International Vacuum Electronics Conference, in Beijing in 2015. He was a TPC Co-Chair of the 8th U.K., Europe, China Millimeter Waves and Terahertz Technology Workshop (UCMMT), Cardiff, U.K., in 2015, and a General Co-Chair of the 9th UCMMT, Tsingdao, China, in 2016. He delivers many plenary talks and session invited speech in the Conferences on vacuum electronics and millimeter wave technologies. He has published over 300 papers in Journals and Conference proceedings.
He is a fellow of Chinese Institute of Electronics (CIE), China, and a fellow of the IET, U.K.
Jacopo Franco, PhD - Senior Researcher

Jacopo Franco is a Principal Member of Technical Staff in the Device Reliability and Electrical characterization (DRE) group of imec, Belgium. He received the B.Sc. (2005) and M.Sc. (2008) in Electronic Engineering from the University of Calabria - Italy, and the Ph.D. degree in Electrical Engineering from KU Leuven - Belgium (2013). His research activity focuses on CMOS FEOL degradation mechanisms (Bias Temperature Instabilities, Random Telegraph Noise, Hot Carrier degradation, Time-Dependent Dielectric Breakdown) and on time-dependent device variability, particularly in novel MOS gate stacks for advanced high-mobility channel transistors (SiGe, Ge, III-V) and for novel integration schemes (Sequential 3D stacking). He has (co-)authored 230+ publications, including 20+ invited papers, 1 book, 3 book chapters, 3 international patents. He received the Best Student Paper Award at IEEE SISC (2009) and the EDS Ph.D. Student Fellowship (2012), and he is also one of the recipients of the EDS Paul Rappaport Award (2011), and the Best (2012), Outstanding (2014), and Best Student (2016) Paper Awards at IRPS. He has served in various functions on the Technical Program Committees of IRPS, IIRW, ESREF, and WoDiM conferences, and as an Editor of IEEE Transactions on Device and Materials Reliability.
Lixin Ge - Principal Engineer

Lixin Ge is a principal engineer at Qualcomm Inc., San Diego, California. He received his M.S. degree from National University of Singapore in 1997 and Ph.D. degree from University of Florida, Gainesville in 2002, both from electrical engineering. His research was focused on the modeling and design of double gate and SOI CMOS devices and circuits, emerging technologies and devices including FinFETs and gate-all-around MOSFETs, and design-technology co-optimization. Dr. Ge joined Qualcomm in 2008 as a SPICE modeling expert, and has been instrumental in supporting over 30 product designs which are now in volume production. He is currently leading 7nm/5nm FinFET SPICE modeling projects, and design-technology co-optimization for next generation mobile chip. He received Qualcomm Upendra Patel Achievement Award for the outstanding contributions towards 28nm technology commercialization and for enabling the first Snapdragon chipset MSM8960 commercial launches in 2012, and Qualcomm Super Qualstar Award in recognition of the contribution for going above and beyond in supporting 10nm technology in 2017. Prior to joining Qualcomm, Lixin held various positions at Freescale Semiconductor/Motorola, Texas Instruments, and Chartered Semiconductor (now Globalfoundries). He is a senior member of IEEE, and had authored and co-authored over 30 publications, and has 16 issued and 21 pending patents.
Elena Gnani - Senior Member

Elena Gnani received the M.S. degree in Electrical Engineering "summa cum laude" and the Ph.D degree in Electrical Engineering and Computer Science in 2003 with a dissertation entitled "Physical models for MOS nanostructures", both from the University of Bologna. She joined the Department of Electrical, Electronic and Information Engineering at the University of Bologna in 2004, where she is currently Associate Professor. Her research interests include the development of physical transport models in semiconductor devices and numerical-analysis techniques, with special emphasis on the study of quantum-confined devices, such as FinFETs, silicon nanowires (NW), steep-slope devices, quasi ballistic transport in nanoMOSFETs, as well as carrier injection in non-volatile memory cells. She has been involved in several National and European Projects. Her research activities have been carried out in cooperation with worldwide semiconductor research centers and semiconductor industries. E. Gnani is author or co-author of more than 180 papers published in referred international journals and in proceedings of major international conferences, and of several invited contributions, and has been involved in outstanding conferences such as IEDM, DATE, ESSDERC, EUROSOI-ULIS. She has been a member of the IEEE Electron Devices Society (EDS) from 2001, and is presently an IEEE Senior Member and EDS Distinguished Lecturer for Region8. She is also a member of the EDS Technology Computer Aided Design Committee and serves as an associate editor of the IEEE Transactions on Electron Devices.
Lecture Topics:
- Trends and Challenges in Nanoelectronics for the Next Decade
- Steep-slope devices: prospects and challenges
- Theoretical Analyses and Modeling for Nanoelectronics
- Tunnel FETs: Device Physics and Realizations
Samuel Graham

Dr. Samuel Graham, Jr. is the Eugene C. Gwaltney, Jr. Professor in the Woodruff School of Mechanical at the at the Georgia Institute of Technology. He holds a joint appointment with the National Renewable Energy Laboratory. His current research centers on the development of electronics made from wide bangdap semiconductors (III-V, oxides) and organic electronics for a range of applications including displays, rf communications, power switches, neuromorphic computing, and flexible electronics. His research in the area of wide bandgap semiconductors addresses the engineering of the thermal response of the devices in order to enhance heat dissipation and improve device reliability. Key aspects of this work include thermal metrology of devices, understanding electrothermal phenomena, measuring thermal properties, and developing the understanding to create interfaces within the devices to control thermal transport. For neuromorphic devices, he is creating physics-based models and experimental tools to design the electro-thermal-ionic transport response that controls the set/reset behavior.
From 1999 to 2003, Dr. Graham was a Sr. Member of Technical Staff at Sandia National Laboratory in Livermore, CA where he worked on the development of optical coatings for EUV lithography systems. In 2003, he joined the Woodruff school of Mechanical Engineering at the Georgia Institute of Technology as an Assistant Professor. He was promoted to Professor in 2013 and is now the Eugene C. Gwaltney, Jr. Professor and School chair. He holds a B.S. in Mechanical Engineering from Florida State University (1993) and a Ph.D. in Mechanical Engineering (1999) from the Georgia Institute of Technology. Dr. Graham was a member of the Defense Science Study Group (2014-16) and is a member of the Review Board of the Engineering Science Research Foundation of Sandia National Laboratory and the Emerging Technologies Technical Advisory Group for the US Department of Commerce.
Tibor Grasser - Fellow

Prof. Tibor Grasser is an IEEE Fellow and currently head of the Institute for Microelectronics at TU Wien. He has edited various books, e.g. on the bias temperature instability and hot carrier degradation (both Springer), is a distinguished lecturer of the IEEE EDS, has been involved in outstanding conferences such as IEDM, IRPS, SISPAD, ESSDERC, and IIRW, is a recipient of the Best and Outstanding Paper Awards at IRPS (2008, 2010, 2012, and 2014), IPFA (2013 and 2014), ESREF (2008) and the IEEE EDS Paul Rappaport Award (2011).
Lecture Topics:
- Semiconductor device reliability (bias temperature instability, hot carrier degradation)
- Noise (RTN, 1/f)
- 2D devices (defects, hysteresis, drifts)
Jing Guo - Professor, Department of Electrical and Computer Engineering

Jing Guo is currently a professor in Department of Electrical and Computer Engineering at University of Florida, Gainesville, FL, USA. His research work mainly focuses on modeling, simulation, and design of nanoscale electronic devices. His group has extensively explored device physics, assessed performance potentials, and developed new device concepts for nanoscale transistors based on carbon nanotubes, graphene, 2D materials and topological insulators, and memory cells based on ferroelectric materials. His group has developed efficient simulation methods for quantum-transport-based device simulations, and physics-based models for nanoscale transistors. More recently, his group has contributed to simulation of interconnects based on topological insulator materials and semiconductor-based quantum computing devices. He has also developed and contributed to some widely used simulation tools deployed on the nanoHUB, such as CNTbands. Jing Guo received his B.S. (1998) and M.S. (2000) degrees from Shanghai Jiao Tong University and Ph.D. degree in Electrical Engineering from Purdue University (2004). He served in the technical program committee of the International Device Research Meeting (IEDM) and Device Research Conference (DRC). He also serves as an associate editor of Nano-Micro Letters. He coauthored a book “Nanoscale Transistors: Device Physics, Modeling, and Simulation.” Published by Springer.
Xiaojun Guo - Optoelectronics, Display, Imaging

Xiaojun Guo is now Professor in Department of Electronic Engineering at Shanghai Jiao Tong University, China. He received the Bachelor degree from Jilin University (China) in 2002, and the Ph.D. degree from University of Surrey (UK) in 2007, both in electronic engineering. He worked on EDA for VLSIs in Department of Electronic Engineering at Tsinghua University during 2002-2003. His PhD research was on system-on-panel integration with low-temperature poly-Si TFTs. Before joining Shanghai Jiao Tong University in Aug. 2009, he had been working in Plastic Logic Ltd., Cambridge, UK, on research and development of printed polymer TFTs backplanes for flexible displays, and technology transfer for manufacturing. His group at Shanghai Jiao Tong University is now focusing on device and integration of printable thin film transistors and functional devices including displays, sensors and memories. He has authored or co-authored more than 60 technical papers in international journals and conference.
Edmundo A. Gutierrez-D. - Solid State Device Phenomena; Emerging Technologies and Devices

for Astrophysics, Mexico
Dr. Edmundo A. Gutiérrez-D. Got his PhD in 1993 from the Catholic University of Leuven, Belgium with the thesis entitled “Electrical performance of submicron CMOS technologies from 300 K to 4.2 K”. From 1989 to 1993, while working for his PhD, served as a research assistant at the Interuniversity Microelectronics Center (IMEC) in Leuven, Belgium. In 1996 was guest Professor at Simon Fraser University, Vancouver, Canada. In 1996 spent two months as an invited lecturer at the Sao Paulo University, Brazil. In 2000 acted as Design Manager of the Motorola Mexico Center for Semiconductor Technology. In 2002 was invited lecturer at the Technical University of Vienna, Austria. In 2005 joined the Intel Mexico Research Center as technical Director. Currently he holds a Professor position at the National Institute for Astrophysics, Optics and Electronics (INAOE), in Puebla, Mexico. Prof. Gutiérrez-D. is an IEEE senior member since 2008.
Professor Gutiérrez-D. has published over 100 scientific publications and conferences in the field of semiconductor device physics, has supervised 5 M.Sc. and 10 Ph.D. thesis, and is author of the book “Low Temperature Electronics, Physics, Devices, Circuits and Applications” published by Academic Press in 2000. Prof. Gutiérrez-D. is member of the Mexico National System of Researchers and technical reviewer for the Mexico National Council for Science and Technology (CONACyT).
Bram Hoex - Solid State Energy Sources

Associate Professor Bram Hoex completed both a MSc and PhD degree from Eindhoven University of Technology in 2003 and 2008, respectively. From 2008 to 2015 he worked at the Solar Energy Research Institute of Singapore (SERIS) at the National University of Singapore (NUS) as a Group Leader and from 2012 also as Director of the Silicon Materials and Cells Cluster. In 2015 he joined the School of Photovoltaic and Renewable Energy Engineering (SPREE) at UNSW Sydney where he currently serves as Deputy Head of School (Research). His research group focusses on the fabrication and characterization of high-efficiency silicon wafer solar cells with a particular focus on surface passivation and passivating contacts. He is best known for his groundbreaking work on aluminium oxide for crystalline silicon surface passivation which is now the de facto standard for industrial PERC solar cells. He also pioneered the application of atomic layer deposition for silicon wafer solar cell manufacturing. He has published over 150 journal and conference papers, and in 2018 he released PV-manufacturing.org which is aimed at educating students and professionals in the area of photovoltaic manufacturing. His work has received various international recognitions including the 2008 SolarWorld Junior Einstein and the 2016 IEEE PVSC Young Professional awards.
Sung-Min Hong - Device and Process Modeling

Sung-Min Hong received the B.S. degree in electrical engineering and the Ph.D. degree in electrical engineering and computer science from Seoul National University, Seoul, Korea, in 2001 and 2007, respectively. From 2007 to 2011, he was a Postdoctoral Researcher at the “Institut fuer Mikroelektronik und Schaltungstechnik,” Bundeswehr University, Neubiberg, Germany. From 2011 to 2013, he was a Staff Engineer at the Device Laboratory, SSI, San Jose, CA. He is currently an Assistant Professor with the School of Electrical Engineering and Computer Science, Gwangju, Korea. His main research interests include physics-based device modeling.
JianJang Huang - Optoelectronic Devices

Muhammad Mustafa Hussain

Muhammad Mustafa Hussain (PhD, ECE, UT Austin, Dec 2005) is a Professor of Electrical Engineering, KAUST (since Fall 2009) and EECS, UC Berkeley (since Spring 2019). He was Program Manager in SEMATECH (2008-2009) and Process Integration Lead for 22 nm node FinFET CMOS in Texas Instruments (2006-2008). His research is focused on futuristic electronics which has received support from DARPA, Boeing, Lockheed Martin, GSK-Novartis, Saudi ARAMCO and SABIC. He has authored 350+ research papers and patents. His students are working in MIT Media Lab, Stanford, Caltech, UC Berkeley, Harvard, UCLA, Intel, TSMC, and DOW Chemicals. He is a Fellow of IEEE, American Physical Society and Institute of Physics (UK), a distinguished lecturer of IEEE Electron Devices Society, and an Editor of IEEE T-ED. His research has been extensively highlighted by international media (CNN, Fox News, Washington Post, WSJ, IEEE Spectrum, etc.) including being featured by Scientific American as one of the top 10 world changing ideas in 2014. He has received 45 international awards including Best Innovation Award, CES 2020, Edison Award 2020, Texas Exes Outstanding Young Alumni Award 2015, IEEE R5 Outstanding Individual Achievement Award 2016, DOW Sustainability Challenge Award 2012, Applied Physics Letters Best Featured Articles 2015, 2019, etc.
Lecture Topics:
- MOS Devices and Technology
Benjamin Iniguez - Senior Member

Benjamin Iñiguez obtained the Ph D in Physics in 1992 and 1996, respectively, from the Universitat de les Illes Balears (UIB). From February 1997 to September 1998 he was working as a Postdoctoral Researcher at the Rensselaer Polytecnhnic Institute in Troy (NY, USA). From September 1998 to January 2001 he was working as a Postdoctoral Scientist in the Université catholique de Louvain (Louvain-la-Neuve, Belgium), supported by two Marie Curie Fellowships from the European Commission. In February 2001 he joined the Department of Electronic, Electrical and Automatic Control Engineering (DEEEiA)of the Universitat Rovira i Virgili (URV), in Tarragona, Catalonia, Spain) as Titular Professor. In February 2010 he became Full Professor at URV. He obtained the Distinction from the Generalitat for the Promotion of University Research in 2004 and the ICREA Academia Award (the highest award for university professors in Catalonia, from ICREA Institute) in 2009 and 2014, for a period of 5 years each. He led one EU-funded project (“COMON”, 2008-12) devoted to the compact modeling of nanoscale semiconductor devices and he is currently leading one new EU-funded project (DOMINO, 2014-18) targeting the compact modeling of organic and oxide TFTs. His main research interests are the characterization, parameter extraction and compact modelling of emerging semiconductor devices, in particularorganic and oxide Thin-Film Transistors, nanoscale Multi-Gate MOSFETs and GaN HEMTs. He has published more than 150 research papers in international journals and more than 130 abstracts in proceedings of conferences.
Lecture Topics
Compact device modeling
Semiconductor device parameter extraction
Physics of Thin-Film Transistors
Graphene and TMD devices
Jinfeng Kang - Memory Devices and Technology

Jinfeng Kang received his B.S. degree in physics from Dalian University of Technology in 1984, and M.S. and Ph.D degrees in solid-state electronics & microelectronics from Peking University in 1992 and 1995 respectively. From 1996 to 1997 he worked on the new oxides applications in microelectronics at Institute of Microelectronics in Peking University as a post-doctoral fellow. In 1997 he joined the faculty first as an associate professor then professor in 2001. From 2002 to 2003, he was invited to work on high-k/metal gate technology at SNDL in National University of Singapore as a visiting professor. He is now a Full Professor of Electronics Engineering Computer Science School in Peking University. His research interest is to explore novel device concepts, structures, materials, circuits, and the system architectures for the applications of future computing and data storage systems. He has published over 200 conference and journal papers, and was speaker of more than 30 invited talks such as IEDM, ASP-DAC, MRS.
Brajesh Kumar Kaushik - Senior Member

Dr. Brajesh Kumar Kaushik (S’07–M’09–SM’13) received his Ph.D. degree in 2007 from Indian Institute of Technology Roorkee, India. He joined Department of Electronics and Communication Engineering, Indian Institute of Technology, Roorkee, as Assistant Professor in December 2009; and since April 2014 he has been working as an Associate Professor. He has authored and reviewed several research publications in renowned journals, national and international conferences. He is a Senior Member of IEEE and holds the position of Editor and Editor-in-Chief of various journals in the field of VLSI and microelectronics. He has received many awards for his significant contribution to the scientific community. His research interests include spintronics-based devices and circuits, high-speed interconnects low-power VLSI design, memory design, carbon nanotube-based designs, organic electronics, FinFET device circuit co-design.
Lecture Topics
- Spintronics based Quantum Computing Architecture
- Neuromorphic Computing Using Non-volatile Memory
- Graphene based Interconnect Modelling
- Spintronics-Perspectives and Challenges
- Modeling and Application of FinFET
Tae-Hun Kim

Tae-Hun Kim was born in Korea in 1973. He is a principal engineer working on Flash memory development at Semiconductor R&D Center, Samsung Electronics. He received B.S. and M.S. degree from School of Electrical Engineering, Seoul National University, Seoul, Korea, in 1999 and 2001, respectively. He received Ph.D. degree at the same university in 2006. In 2006, he joined Samsung Electronics Co., Hwasung, Korea, where he has been engaged in the development of NAND flash memories. During the developments of planar NAND flash down to 1x nm technology node, his main focus was on the device design, process development, failure analysis, and chip operation development. He has been working on the device development of 3 dimensional NAND flash since 2011 when he joined the project developing 2nd generation 3 dimensional NAND Flash. Currently he is working on the product development of next generation 3 dimensional NAND and his interests are the design of 3 dimensional NAND cell transistor and new scheme of the device for the future NAND.
Woo Soo Kim

Dr. Woo Soo Kim is an Associate Professor at the School of Mechatronic Systems Engineering in Simon Fraser University (SFU), located in British Columbia Canada. He was selected in 2017 as a Brain Pool Fellow for his sabbatical visit at Seoul National University in Korea and stayed at Swiss Federal Laboratories of Materials Science and Testing, Empa in ETH Domain as a visiting professor in 2018. Prior to joining SFU, he was a Senior Research Scientist in Xerox Corporation (XRCC) for two years after the period of a Post-doctoral Research Associate in Massachusetts Institute of Technology (MIT) for two and half years. He received BSc degree from Yonsei University in 2001 and MSc and PhD degrees from Korea Advanced Institute of Science and Technology (KAIST). He was the winner of Quadrant Award 2007. And he received Hanwha Corporation’s New Faculty Award in 2016. His research interest is broad in the field of Additive Manufacturing for flexible electronic applications. He has published widely about 100 publications including journal papers, conference proceedings, and US patents. www.sfu.ca/~woosook
Tsunenobu Kimoto

Tsunenobu Kimoto received the B.E. and M.E. degrees in Electrical Engineering from Kyoto University, Japan, in 1986 and 1988, respectively. He joined Sumitomo Electric Industries, LTD in 1988. In 1990, he started his academic career as an Assistant Professor at Kyoto University, and received the Ph.D. degree from Kyoto University in 1996, based on his work on silicon carbide (SiC). From 1996 to 1997, he was a visiting scientist at Linköping University, Sweden, and since 2006 he has been a Professor at Department of Electronic Science and Engineering, Kyoto University.
His main research activity includes SiC (growth, characterization, process technology (MOS, ion implantation, etc.), power devices, and high-temperature devices), nano-scale Si and Ge devices, and oxide materials for resistive switching memories. He is a Fellow of IEEE and JSAP.
Hagen Klauk - Molecular and Organic Devices

Hagen Klauk (S’97–M’99) received the Diplom-Ingenieur degree in electrical engineering from Chemnitz University of Technology, Germany, in 1995 and the Ph.D. degree in electrical engineering from the Pennsylvania State University in 1999. From 1999 to 2000, he was a Postdoctoral Researcher with the Center for Thin Film Devices at the Pennsylvania State University. In 2000, he joined Infineon Technologies, Erlangen, Germany. Since 2005, he has been head of the Organic Electronics group at the Max Planck Institute for Solid State Research, Stuttgart, Germany. His research focuses on flexible electronics based on organic semiconductors, carbon nanotubes and inorganic semiconductor nanowires.
Lalit Kumar - Vacuum Electron Devices

Rihito Kuroda - Image Sensors

Rihito Kuroda received the B.S. degree in electronic engineering and the M.S. and Ph.D. degrees in management science and technology from Tohoku University, Sendai, Japan, in 2005, 2007, and 2010, respectively. He was a Research Fellow of the Japan Society for the Promotion of Science Research from 2007 to 2010. Since 2010, he is with the Graduate School of Engineering, Tohoku University, where he is currently an Associate Professor. He is engaged in researches on process, device, design and characterization of advanced CMOS image sensors. He received the 2016 nac High Speed Imaging Award. He has been serving as a committee member of IEDM in since 2015, IEEE Sensors in 2014-2016 and Electronic Imaging since 2015 and several other international conferences, and a board director of the International Image Sensor Society since 2017.
Ioannis (John) Kymissis - Senior Member

Ioannis (John) Kymissis is an electrical engineer teaching at Columbia University. His area of specialization is solid state electronics and device fabrication, with an emphasis on thin film devices and the use of organic semiconductors in his work. He graduated with his SB, M.Eng., and Ph.D. degrees from MIT, and after working as a post-doc and at QDVison, joined the faculty at Columbia University in 2006. John has won a number of awards for his work, including the NSF CAREER award, the IEEE EDS Paul Rappaport award, the Vodaphone Americas Foundation Wireless Innovation Award, and the MIT Clean Energy Prize. He is currently serving as the editor-in-chief of the Journal of the Society for Information Display and is the general chair for the 2013 Device Research Conference.
Lecture Topics
- Organic semiconductors, single chip sensors, flexible electronics, micro-LEDs
Rosa Letizia

Rosa Letizia received the Laurea degree in Electronic Engineering from the Polytechnic of Bari, Bari, Italy, and the Ph.D. degree in computational photonics from the University of Leeds, Leeds, U.K., in 2005 and 2009, respectively. In 2011, she joined the Engineering Department, LancasterUniversity, Lancaster, U.K., and the Cockcroft Institute of Accelerator Science and Technology, Warrington, U.K., where she has been a Senior Lecturer since 2019. Her research focuses on the design, fabrication and test of electromagnetic structures for the design of millimeter wave and THz vacuum electron devices and high frequency particle acceleration. Her expertise includes the development of computational modelling of complex electromagnetic structures from the millimetre-wave to the optical frequency range of the spectrum. She has published in excess of 90 peer-rewieved international journal and conference papers. In 2019, she was the recipient of the Senior Research Fellowship from The Leverhulme Trust and Royal Academy of Engineering. She has served as member of the Technical Committee for the U.K., Europe, China Millimeter Waves and Terahertz Technology Workshop (UCMMT) from 2013 to 2015 and the International Vacuum Electronic Conference (IVEC) in 2017. She is an IEEE Senior Member and serves as an associate editor for the IEEE Transactions on Electron Devices.
Shankar N. Ekkanath Madathil - Solid State Power Devices

Ekkanath Madathil Sankara Narayanan (M’87–SM’00) was born in India, in 1962. He received his B.Sc. and M.Sc. degrees from PSG College of Technology, Coimbatore, India, M.Tech degree from the Indian Institute of Science, Bangalore and his Ph.D. degree from the University of Cambridge, U.K. He was a Maudslay Engineering Research Fellow in Pembroke College, Cambridge and a Research Associate with Engineering Department, Cambridge University during 1992–1994. He was the Director of the Emerging Technologies Research Center, De Montfort University, UK during 1994–2007. He is currently with the Electrical Machines and Drives Research Group at the University of Sheffield in the UK, where he holds the Rolls Royce/Royal Academy of Engineering Chair in Power Electronics Systems and Royal Society Industry Fellowship in Rolls-Royce, UK. His research interests include integrated and discrete power device technologies in Silicon and wide band gap materials, design for manufacturability and compact power converters for automotive/aerospace applications, functional materials, thin film transistors, RF technologies, and technology strategies in microelectronics. He is an author of more than 200 articles and holds twenty five patents, approved or pending approval. He is a Fellow of IET and IOP. He is in the editorial boards of IEEE Transactions on Devices and Materials Reliability and IET Journal of Power Electronics.
Javier Mateos - Solid-State Phenomena

Gaudenzio Meneghesso - Compound Semiconductor Devices

Gaudenzio Meneghesso (IEEE S’95–M’97–SM’07- F’13) He graduated in Electronics Engineering at the University of Padova in 1992 working on the failure mechanism induced by hot-electrons in MESFETs and HEMTs. He received the Italian Telecom award for his thesis work in 1993. In 1995 he was at the University of Twente (The Netherland) with a Human Capital and Mobility fellowship (within the SUSTAIN Network) working on the dynamic behavior of protection structures against ESD. In 1997 he received the Ph.D. degree in Electrical and Telecommunication Engineering from the University of Padova working on hot-electron characterization, effects and reliability of GaAs-based and InP-based HEMT's and pseudomorphic HEMT's. Since 2011 is with University of Padova as Full Professor. His research interests involves mainly the Electrical characterization, modeling and reliability of several semiconductors devices: a) microwave and optoelectronics devices on III-V and III-N; b) RF-MEMS switches for reconfigurable antenna arrays; c) Electrostatic discharge (ESD) protection structures for CMOS and SMART POWER integrated circuits including ElectroMagnetic interference issues; d) organic semiconductors devices; e) photovoltaic solar cells based on various materials. Within these activities he published more than 600 technical papers (of which more than 80 Invited Papers and 10 best paper awards). He is reviewer of several international journals: IEEE Transactions on Electron Devices, IEEE Electron Device Letters, IEE Electronics Letters, Journal of Applied Physics, Applied Physics Letters and Semiconductor Science and Technology (IOP), Microelectronics Reliability (Elsevier). He served several years for the IEEE-International Electron Device Meeting (IEDM): he was in the Quantum Electronics and Compound Semiconductors sub-committee as a member in 2003, as chair in 2004 and 2005 while in 2006 and 2007 he has been in the Executive Committee as European Arrangements Chair. He is serving since 2009 in the management Committee of the IEEE International Reliability Physics (IRPS) Symposium. He is (or has been) in the steering committee of several European conferences: European Solid State Device Conference (ESSDERC), European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF), Heterostructures Technology Workshop (HETECH), Workshop on Compound Semiconductors Devices and Integrated Circuits held in Europe (WOCSDICE), Workshop on Compound Semiconductor Materials and Devices (WOCSEMMAD). In 2010 He entered in the IEEE EDS Adcom on different subcommittee. He has been nominated to IEEE Fellow, with the following citation: “ for contributions to the reliability physics of compound semiconductors devices”.
Youichi Momiyama - MOS Devices and Technology

Youichi Momiyama received the B.S. and M.S. degree in electronics engineering from Niigata University, Niigata, Japan, in 1990 and 1992, respectively. In 1992, he joined Fujitsu Laboratories Ltd., Atsugi, Japan, where he has been engaged in research and development of low-power and high-speed CMOS devices. He developed the deep sub-micron high-k / metal gate transistor using Ta2O5 / TiN stack in 1997, and accelerated the research and development of high-k materials as a gate stack application. After that, he was engaged in research of RF-CMOS transistor design based on the bulk and SOI technologies for SOC application. He has also been engaged in process integration of 130-45 nm LP and HP technologies at the same time. His present activities include process integration of 32/28 nm node LP and HP technologies, Si MOSFET scaling, device characterization and circuit-device co-design. Mr. Momiyama is a member of the IEEE electron devices society and solid-state circuits society.
Pritish Narayanan - Memory Devices and Technology

Pritish Narayanan received the BE(Hons) and MSc(Hons) degrees from the Birla Institute of Technology and Science (BITS), Pilani, India, and his PhD in Electrical and Computer Engineering from the Univeristy of Massachusetts Amherst. He joined IBM Research – Almaden as a Research Staff Member in 2013 as part of the Storage Class Memory project, where he investigated device and circuit design challenges for access devices used in 3D crosspoint memory. His current research interests are in the area of ultra-high-performance hardware systems for Artificial Intelligence. His work focuses on novel non-Von Neumann architectures based on emerging non-volatile memory, and he is the lead circuit architect for several deep learning test sites based on Phase Change Memory (PCM) and mixed-signal hardware.
Dr. Narayanan has presented two keynotes (International Memory Workshop 2017, Cool Chips 2018) and a tutorial session (Device Research Conference 2017), in addition to several invited talks. He won Best Paper Awards at IEEE Computer Society Symposium on VLSI 2008 and at Nanoarch 2013. He has also been a Guest Editor for the Journal of Emerging Technologies in Computing, the Program Chair at IEEE Nanoarch 2015, Special Session Chair for IEEE Nano 2016 and served on the Technical Program Committees of several conferences.
Guofu Niu - Bipolar Devices

Lucio Pancheri

Lucio Pancheri received the M.Sc. degree (summa cum laude) in Materials Engineering and the Ph.D. in Information and Communication Technologies from the University of Trento, Italy, in 2002 and 2006, respectively. From 2006 to 2012 he has been a research scientist at Fondazione Bruno Kessler (FBK), Italy, within the Integrated Radiation and Image Sensors (IRIS) research unit. In 2012 he joined the Department of Industrial Engineering at the University of Trento where he is currently Associate Professor of Electronics. His research activity has been mainly directed towards the development of CMOS integrated Single Photon Avalanche Diodes and image sensors for Time-of-Flight ranging and scientific imaging. His research interests also include radiation and charged-particle imaging detectors for high-energy physics, medical and space applications, hybrid organic-CMOS photodetectors and gas sensors. He has authored or co-authored more than 140 papers in international journals and in the proceedings of international conferences.
Jin-Seong Park

Jin-Seong Park received the B. S. degree from the Department of Material Science and Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Republic of Korea, in 1997, and the M. S. degree and Ph. D. degree in Plasma Enhanced Atomic Layer Deposition from KAIST in 1999 and 2002, respectively. From 2003 to 2005, he was a post-doctor in Chemistry and Chemical Biology, Harvard University, Cambridge, USA. Then, he started to work as a senior researcher to develop Advanced Thin Film Transistor such based on organic semiconductor and amorphous oxide semiconductor for (flexible) active matrix organic light emitting display (AMOLED) in Samsung SDI (2005-2009) and Samsung Mobile Display (2008-2009, Before Samsung Display), respectively. From 2009 to 2013, he was an Assistant Professor with the Department of Materials Science and Engineering, Dankook University, Cheonan, Republic of Korea. Since March 1, 2013, he has been with the Division of Material Science and Engineering, Hanyang University, Seoul, Republic of Korea, where he was first an Associated Professor and is currently a full-tenured professor. His main research interests include the development of the functional thin film (such as Atomic Layer Deposition) and devices for flexible / transparent / wearable electronics. He is also interested in the development of high-mobility semiconductors, and in the atmosphere pressure process for next-generation electronics (Semiconductor & Display Industry). Dr. Park is a member of Korean Information Display Society, Society of Information Display, Material Research Society of Korea and so on. he has been served as an Executive committee in Thin Film Division of American Vacuum Society as well as International Atomic Layer Deposition Conference.
Marcelo Pavanello - Senior Member

Marcelo Antonio Pavanello (S´99-M´02-SM´05) received the Electrical Engineering degree from FEI University in 1993, receiving the award “Instituto de Engenharia” given for the best student among all the modalities of engineering programs offered at FEI. He received the M. Sc. and Ph. D. degrees in 1996 and 2000, respectively, in Electrical Engineering (Microelectronics) from University of São Paulo, Brazil. From August to December 1998 he was with Laboratoire de Microélectronique from Université Catholique de Louvain (UCL), Belgium, working in the fabrication and electrical characterization of novel channel-engineered Silicon-On-Insulator (SOI) transistors. From 2000 to 2002 he was with the Center of Semiconductor Components and Nanotechnologies, State University of Campinas, Brazil, where he worked as a post-doctoral researcher in the development of a CMOS n-well process. Since 2003 he joined FEI University where he is now Full Professor at Electrical Engineering Department. In 2008 he has been with UCL as a visiting professor. Dr. Pavanello is Senior member of The IEEE and Brazilian Microelectronics Society. He is also Research Associate to the National Council for Scientific Development (CNPq), Brazil. Since 2007 he serves as IEEE Electron Devices Society (EDS) Distinguished Lecturer and has been nominated to the Compact Modeling Technical Committee of EDS in 2018. He is author and co-author of more than 300 technical papers in peer-reviewed journals and conferences, and author/editor of 6 books. Dr. Pavanello coordinates several research projects fomented by Brazilian agencies like FAPESP, CNPq and Capes. He also supervised several Ph. D. dissertations, M. Sc. thesis and undergraduate projects in Electrical Engineering. His current interests are the compact modeling, fabrication, electrical characterization and simulation of SOI CMOS transistors with multiple gate configurations and silicon nanowires; the wide temperature range of operation of semiconductor devices; the digital and analog operation of novel channel-engineered SOI devices and circuits.
Lecture Topics:
-Junctionless Nanowire Transistors: Electrical Characteristics and Compact Modeling;
-Physics and Electrical Characterization of Multiple-Gate Transistors (Nanowires and FInFETs) in a Wide Temperature Range of Operation;
-Impact of Channel Engineering on SOI Devices and Circuits;
-Operation and Modeling of Silicon-On-Insulator MOSFETs in Cryogenic Environments.
Jamie Phillips - Optoelectronics Devices

Jamie Phillips is currently Professor and Chair of the ECE Department at the University of Delaware. He received his B.S., M.S. and Ph.D. degrees in Electrical Engineering at the University of Michigan. He was a postdoctoral researcher at Sandia National Labs from 1998-1999 and research scientist at the Rockwell Science Center from 1999-2001 before returning to the University of Michigan as a faculty member in 2002. At the University of Michigan, he was an Arthur F. Thurnau Professor in the EECS Department prior to joining the University of Delaware in 2020. His expertise is in the growth, characterization, and device applications of compound semiconductor and oxide-based materials for optoelectronics and electronics where he has published more than 130 peer-reviewed journal articles. Prof. Phillips received an NSF CAREER award, DARPA MTO Young Faculty Award, IEEE Paul Rappaport Best Paper Award, and IEEE Theodore E. Batchman Best Paper Award.
Siddharth Rajan - Compound Semiconductors

Wataru Saito

Wataru Saito received the B.S., M.S., and Ph.D. degrees in electrical and electronics engineering from Tokyo Institute of Technology, Tokyo, Japan, in 1994, 1996, and 1999, simultaneously. He joined Discrete Semiconductor Division, Toshiba Corporation Semiconductor Company, Kawasaki, Japan, in 1999, where he has been engaged in the development of power semiconductor devices. Since 2019, he is currently a Professor with Research Institute for Applied Mechanics, Kyushu University, Fukuoka, Japan.
Andries J. Scholten - Device and Process Modeling

Frank Schwierz - Senior Member

Dr. Frank Schwierz serves as Privatdozent and is Head of the RF & Nano Device Research Group at Technische Universitaet Ilmenau, Germany. His research is focused on novel device and material concepts for future electronics. At present, he is particularly interested in 2D (two-dimensional) electronic materials.
Frank is conducting research projects funded by the European Community, German government agencies, and the industry. He was involved in the development of the fastest Si-based transistors worldwide in the late 1990s, of Europe's smallest MOSFETs in the early 2000s, as well as of the fastest GaN HEMTs on Si and the fastest GaN tri-gate HEMTs worldwide in the 2010s. His recent work on 2D materials made a major contribution to the current understanding of the merits and drawbacks of 2D devices and 2D electronics.
Frank has published more than 270 journal and conference papers including 50 invited/keynote papers. He is author of the books Modern Microwave Transistors (J. Wiley & Sons 2003) and Nanometer CMOS (Pan Stanford Publishing 2010) and editor of the book Two-Dimensional Electronics – Prospects and Challenges (MDPI 2016).
Frank is Senior Member of the IEEE and serves as a committee member of international conferences (most notably IEDM 2018 and 2019) and as an editor of the IEEE Transactions on Electron Devices. Moreover, he has been one of the key contributors to the Emerging Research Devices Chapters of the 2013 and 2015 ITRS editions.
Lecture Topics
- 2D (Two-Dimensional) Electronic Materials
- 2D Devices and 2D Electronics
- Past, Present, and Future of CMOS Scaling
- Moore's Law and CMOS Scaling
David Sheridan - High Voltage and Power Devices

Gregory Snider - Emerging Technologies and Devices

Charles Surya - Optoelectronics Devices

Charles Surya received his PhD in Electrical Engineering from the University of Rochester in 1987. From 1987 to 1994 he was associated with the Electrical and Computer Engineering Department of Northeastern University. He joined the Electronic and Information Engineering (EIE) Department in 1994 and remained there since. Professor Surya’s research interests are: optoelectronic materials and devices including MOCVD growth of GaN thin films and the study of GaN-based LEDs and UV detectors; growth of organic-inorganic hybrid perovskite materials and the fabrication of advanced perovskite based photovoltaic cells; and low-frequency noise in electron devices. Presently, Professor Surya is spearheading a collaborative effort between The Hong Kong Polytechnic University and the City of Dongguan, China for the establishment of an R&D Center on the study of photovoltaic materials, devices and systems. He became a full professor of the Department in 2002andsince 2013hewas appointed Clarea Au Endowed Professor in Energy. Professor Surya had served in various administrative posts including Associate Head of the EIE Department (2002-2005), Associate Dean of the Faculty of Engineering (2007 – 2010) and the Acting Dean of the Faculty of Engineering (2010 – 2012) of The Hong Kong Polytechnic University. While serving as the Associate Dean and Acting Dean of the Faculty he was responsible for the implementation of outcome-based approach in the Engineering Faculty. From 2007 – 2013 Professor Surya was the The Hong Kong Polytechnic University representative to the Hong Kong University Grants Council Panel for Outcome-based Education to oversee the implementation of Outcome-based Approach among the Engineering Faculties in Hong Kong. He had been active in EDS and had served in various capacities including conference co-chair and chapter chair in the past. He is presently serving as the Chairman of the Optoelectronic Devices Technical Committee.
Paragkumar Thadesar - MOS Devices and Technology

5745 Pacific Center Blvd San Diego California 92121-1714
Paragkumar A. Thadesar received the B.E. degree in electronics and communication engineering with a gold medal from V.V.P. Engineering College, Rajkot, Gujarat, in 2009, and the M.S. and Ph.D. degrees in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, GA, USA, in 2011 and 2015, respectively. His Ph.D. research was focused on electrical design, fabrication and high-frequency characterization of through-silicon vias (TSVs) and radio-frequency (RF) platforms for 2.5-dimensional integration using silicon interposers. Dr. Thadesar is an RF front-end IC/module design engineer at Qualcomm, San Diego, since 2015. His research interests include interconnects, advanced packaging and radio-frequency integrated circuits. Dr. Thadesar received the IBM Ph.D. Fellowship Award from 2014 to 2015, the Outstanding Interactive Presentation Paper Award at the IEEE Electronic Components and Technology Conference in 2013, a Best-in-Session Award at Semiconductor Research Corporation TECHCON, Austin, TX, USA, in 2013, the third place Microelectronics Foundation Prize at IMAPS Device Packaging, Fountain Hills, AZ, USA, in 2013, and the best student paper awards at the Global Interposer Technology Workshop in 2011 and 2012. Dr. Thadesar is an Associate Editor of the IEEE Transactions on Components, Packaging and Manufacturing Technology and the chapter chair for the IEEE San Diego Electronics Packaging Society (EPS) chapter.
Manfred Thumm - Vacuum Electron Devices

Ravi M. Todi

Ravi Todi received his M.S. degree in Electrical and Mechanical Engineering from University of Central Florida in 2004 and 2005 respectively, and his doctoral degree in Electrical Engineering in 2007. His graduate research work was focused on gate stack engineering, with emphasis on binary metal alloys as gate electrode and on high mobility Ge channel devices. In 2007 he started working as Advisory Engineer/Scientist at Semiconductor Research and Development Center at IBM Microelectronics Division focusing on high performance eDRAM integration on 45nm SOI logic platform. Starting in 2010 Ravi was appointed the lead Engineer for 22nm SOI eDRAM development. For his many contributions to the success of eDRAM program at IBM, Ravi was awarded IBM’s Outstanding Technical Achievement Award in 2011. Ravi Joined Qualcomm in 2012, responsible for 20nm technology and product development as part of Qualcomm’s foundry engineering team. Ravi is also responsible for early learning on 16/14 nm FinFet technology nodes. Ravi had authored or co-authored over 50 publications, has several issues US patents and over 25 pending disclosures.
Lecuture Topic
- MOS Devices and Technology
Dina Triyoso
Wilman Tsai - MOS Devices and Technology

Florin Udrea - Solid-State Power and High-Voltage Devices

Giovanni Verzellesi - Compound Semiconductors

Runsheng Wang - MOS Devices and Technology

Runsheng Wang (S’07-M’11) received the B.S. and Ph.D. (highest honors) degrees from Peking University, Beijing, China, in 2005 and 2010, respectively. From November 2008 to August 2009, he was a Visiting Scholar with Purdue University, West Lafayette, IN, USA. He joined Peking University in 2010, where he is currently an Associate Professor at the Institute of Microelectronics. He has authored/coauthored 1 book, 3 book chapters, and over 100 scientific papers, including more than 30 papers published in International Electron Devices Meeting (IEDM) and Symposium on VLSI Technology (VLSI-T). He has been granted 12 US patents and 29 Chinese patents. His current research interests include nanoscale CMOS devices and characterization, circuit and device interaction, and emerging technologies for new-paradigm computing. Dr. Wang was awarded the IEEE EDS Early Career Award by the IEEE Electron Device Society (EDS), NSFC Award for Excellent Young Scientists by the National Natural Science Foundation of China (NSFC), Natural Science Award (First Prize) by the Ministry of Education (MOE) of China, and many other awards. He serves on the Editorial Board of Scientific Reports, and SCIENCE CHINA: Information Sciences, and has served on the Technical Program Committee of many IEEE conferences, including IEDM, IRPS, ICSICT, IPFA, INEC, etc.
Hei Wong - Senior Member

Hei Wong received his B.Sc. degree in electronics from the Chinese University of Hong Kong and Ph.D. in electrical and electronic engineering from the University of Hong Kong. Dr. Wong joined the faculty of the Department of Electronic Engineering at City University of Hong Kong in 1989 and is currently a full professor of the Department. He was a visiting professor of Tokyo Institute of Technology, Japan and a chair professor of Zhejiang University, China. Dr. Wong was the chair for the IEEE ED/SSC Hong Kong Joint Chapter during 2002-2003. He is a member of the international steering committees, technical program committees, and organizing committees for many international and local conferences. Dr. Wong has served as editor or guest editor for many journals including Microelectronics Reliability (Elsevier), IEEE Transactions on Electron Devices, IEEE Transactions on Nanotechnology. He served as Regional Editor for IEEE EDS Newsletter during 2003-2009. He has served as a Distinguished Lecturer for IEEE EDS since 2002. Dr. Wong has worked on MOS device modeling and characterization, hot-electron effects, low-frequency noise, thin dielectric film physics, IC process modeling and characterization, MOS integrated circuit designs, solid-state sensors. He is author or co-author of four books and over 350 papers including over 170 journal papers and dozen journal review papers. In particular, he is a co-author for the book: Guide to State-of-the-Art Electron Devices which was jointly published by Wiley and IEEE for celebrating the 60th anniversary of the IRE electron devices committee and the 35th anniversary of the IEEE Electron Devices Society. He has presented many invited talks and keynote speeches at numerous international conferences. Hei Wong received his B.Sc. degree in electronics from the Chinese University of Hong Kong and Ph.D. in electrical and electronic engineering from the University of Hong Kong. Dr. Wong joined the faculty of the Department of Electronic Engineering at City University of Hong Kong in 1989 and is currently a full professor of the Department. He was a visiting professor of Tokyo Institute of Technology, Japan and a chair professor of Zhejiang University, China. Dr. Wong was the chair for the IEEE ED/SSC Hong Kong Joint Chapter during 2002-2003. He is a member of the international steering committees, technical program committees, and organizing committees for many international and local conferences. Dr. Wong has served as editor or guest editor for many journals including Microelectronics Reliability (Elsevier), IEEE Transactions on Electron Devices, IEEE Transactions on Nanotechnology. He served as Regional Editor for IEEE EDS Newsletter during 2003-2009. He has served as a Distinguished Lecturer for IEEE EDS since 2002. Dr. Wong has worked on MOS device modeling and characterization, hot-electron effects, low-frequency noise, thin dielectric film physics, IC process modeling and characterization, MOS integrated circuit designs, solid-state sensors. He is author or co-author of four books and over 350 papers including over 170 journal papers and dozen journal review papers. In particular, he is a co-author for the book: Guide to State-of-the-Art Electron Devices which was jointly published by Wiley and IEEE for celebrating the 60th anniversary of the IRE electron devices committee and the 35th anniversary of the IEEE Electron Devices Society. He has presented many invited talks and keynote speeches at numerous international conferences. Hei Wong received his B.Sc. degree in electronics from the Chinese University of Hong Kong and Ph.D. in electrical and electronic engineering from the University of Hong Kong. Dr. Wong joined the faculty of the Department of Electronic Engineering at City University of Hong Kong in 1989 and is currently a full professor of the Department. He was a visiting professor of Tokyo Institute of Technology, Japan and a chair professor of Zhejiang University, China. Dr. Wong was the chair for the IEEE ED/SSC Hong Kong Joint Chapter during 2002-2003. He is a member of the international steering committees, technical program committees, and organizing committees for many international and local conferences. Dr. Wong has served as editor or guest editor for many journals including Microelectronics Reliability (Elsevier), IEEE Transactions on Electron Devices, IEEE Transactions on Nanotechnology. He served as Regional Editor for IEEE EDS Newsletter during 2003-2009. He has served as a Distinguished Lecturer for IEEE EDS since 2002. Dr. Wong has worked on MOS device modeling and characterization, hot-electron effects, low-frequency noise, thin dielectric film physics, IC process modeling and characterization, MOS integrated circuit designs, solid-state sensors. He is author or co-author of four books and over 350 papers including over 170 journal papers and dozen journal review papers. In particular, he is a co-author for the book: Guide to State-of-the-Art Electron Devices which was jointly published by Wiley and IEEE for celebrating the 60th anniversary of the IRE electron devices committee and the 35th anniversary of the IEEE Electron Devices Society. He has presented many invited talks and keynote speeches at numerous international conferences. Hei Wong received his B.Sc. degree in electronics from the Chinese University of Hong Kong and Ph.D. in electrical and electronic engineering from the University of Hong Kong. Dr. Wong joined the faculty of the Department of Electronic Engineering at City University of Hong Kong in 1989 and is currently a full professor of the Department. He was a visiting professor of Tokyo Institute of Technology, Japan and a chair professor of Zhejiang University, China. Dr. Wong was the chair for the IEEE ED/SSC Hong Kong Joint Chapter during 2002-2003. He is a member of the international steering committees, technical program committees, and organizing committees for many international and local conferences. Dr. Wong has served as editor or guest editor for many journals including Microelectronics Reliability (Elsevier), IEEE Transactions on Electron Devices, IEEE Transactions on Nanotechnology. He served as Regional Editor for IEEE EDS Newsletter during 2003-2009. He has served as a Distinguished Lecturer for IEEE EDS since 2002. Dr. Wong has worked on MOS device modeling and characterization, hot-electron effects, low-frequency noise, thin dielectric film physics, IC process modeling and characterization, MOS integrated circuit designs, solid-state sensors. He is author or co-author of four books and over 350 papers including over 170 journal papers and dozen journal review papers. In particular, he is a co-author for the book: Guide to State-of-the-Art Electron Devices which was jointly published by Wiley and IEEE for celebrating the 60th anniversary of the IRE electron devices committee and the 35th anniversary of the IEEE Electron Devices Society. He has presented many invited talks and keynote speeches at numerous international conferences.
Lecture Topics:
-Scaling of High-k Dielectric Films to Subnanometer EOT Range
-More Moore and More than Moore
-Non-ideal Effects of MOS Nanowire Transistors
Kejun Xia - Bipolar Devices

Kejun Xia (Senior Member, IEEE) received the Ph.D. degree in Electrical Engineering at Auburn University, Auburn, AL, USA in 2006. After graduation, he joined Maxim Integrated, Beaverton, OR, USA, where he served as a Senior Principal Member of Technical Staff leading the modeling activities for the advanced BCD & SiGe BiCMOS technologies. From 2014 to 2015, he was with the Analog & Sensor BU at Freescale Semiconductor, Chandler, AZ, USA, as a modeling manager, where he expanded his experience to modeling ESD, Reliability, MEMS, product behavior model, etc. From 2016 to 2019, he managed a device and product modeling team for NXP Semiconductors, Chandler, AZ, USA, where he currently manages a High Voltage and Analog Technology Development Team.
Dr. Xia’s research interests include device physics, process, compact modeling, model and its interaction with analog circuits. He has published many technical papers in renowned journals and conferences. He has been a frequent reviewer for IEEE Transactions on Electron Devices, IEEE Electron Device Letters, and Solid-state electronics. He has served as a guest editor for IEEE TED and JEDS special issues. He has been on the technical program committees for the IEEE EDTM conference. He is a member of IEEE compact modeling committee.
Nuo Xu - Device and Process Modeling

Nuo Xu received the B.Sc. degree in Microelectronics from Peking University, China in 2008, M.S. and Ph.D. degrees both in Electrical Engineering from the University of California, Berkeley in 2010, and 2012, separately. His Ph.D. thesis was about Strain-Si Thin-body Transistor Technologies. He has been with Synopsys in 2010 and imec in 2011 for temporary positions. He became a post-doctoral scholar and lecturer in EE of UC Berkeley in 2012, researching on 3D integration of emerging logic and memory devices and design-technology co-optimization (DTCO). He joined Samsung America Headquarters, Device Solutions (AHQ-DS) in 2014, as a senior staff research scientist, working on emerging non-volatile memories (NVM), DTCO and EDA/CAD algorithm development. He joined TSMC North America in 2019, as a R&D manager, focusing on developing leading-edge NVM technologies, compact modeling and EDA/CAD methodologies. He has published over 100 technical papers on peer-reviewed journals and conferences (including 12 IEDM/VLSI papers as the first author); and applied/been granted for over 10 US patents. He is a member of the IEEE Electron Devices Society (EDS) Technical Committee on Technology CAD, and served as the sub-committee chair (2020) and members (2018-19) of IEEE IEDM Modeling and Simulation sessions. He was a recipient of IEEE EDS Student Fellowship (2010), TSMC Academia Award for Outstanding Student (2012), and Samsung AHQ-DS President Award (2019).
Chen Yang - Sensors and Actuators

Darrin J. Young - Solid-State Sensors and Actuators

Bo Zhang - Solid-State Power and High Voltage Devices

- Publications
- Electron Device Letters
- Journal of the Electron Devices Society
- Transactions on Electron Devices
- Journal of Microelectromechanical Systems
- Journal of Photovoltaics
- Transactions on Device and Materials Reliability
- Transactions on Semiconductor Manufacturing
- EDS Newsletter
- Journal of Electronic Materials
- EDS Guide to State-of-the-Art Electron Devices
- EDS 50th Anniversary Booklet
- IEEE Guidelines for Authors
- IEEE Guidelines on Legitimacy of Authorship
- IEEE Intellectual Property Rights
- Editorials for Authors and Reviewers
- Publication Representatives
- Publication Editors in Chief
- Publications Committee