- Home
- Publications
- Transactions on Electron Devices
- Editor-in-Chief and Editors
Editor-in-Chief and Editors
Patrick Fay Patrick Fay received a B.S. degree in Electrical Engineering from the University of Notre Dame in 1991, followed by the M.S. and Ph.D. degrees in Electrical Engineering from the University of Illinois at Urbana-Champaign in 1993 and 1996, respectively. He joined the faculty of the Department of Electrical Engineering at the University of Notre Dame in 1997, where he currently a professor as well as the director of the Notre Dame Nanofabrication Facility. His research interests include the design, fabrication, and characterization of III-V microwave and millimeter-wave electronic devices and circuits, power devices, and high-speed optoelectronic devices and optoelectronic integrated circuits. His research also includes the development and use of micromachining techniques for the fabrication of microwave and millimeter-wave components and packaging. Prof. Fay was awarded the Department of Electrical Engineering’s Outstanding Teacher award in 1998 and 2018, and Notre Dame's College of Engineering’s Outstanding Teacher award in 2015. He is a fellow of the IEEE, and Electron Device Society Distinguished Lecturer, and serves as an associate editor of the IEEE Transactions on Components, Packaging and Manufacturing Technology, IEEE Transactions on Electron Devices, and IEEE Transactions on Microwave Theory and Techniques. Lecture Topics - III-N Devices and Integration for Millimeter-Wave and Power Applications - Vertical GaN Devices and Epitaxial Lift-Off Processing for High Performance Power Applications - Advances in III-N Devices for Power and Internet of Things Applications - III-N Nanowire FETs for Low-Power Applications - Advanced Tunneling-Based Devices for mm-Wave Sensing and Imaging T-ED Editor-in-Chief
Dept. of Electrical Engineering, IN, USA
T-ED Editors
Syed Alam
Design Engineering, Massachusetts USA
Syed M. Alam is the Director of Design engineering at Everspin Technologies leading the design functional areas and path finding for roadmap and technology advancement for STT-MRAM. He has worked on various aspects of memory device and design including array circuits and architecture, and new product introduction supporting test, reliability, bitcell characterization, and high-speed interface characterization for STT-MRAM. Dr. Alam received his BS degree in Electrical Engineering from UT Austin in 1999, MS and PhD degrees in Electrical Engineering and Computer Science from MIT in 2001 and 2004, respectively. He has mentored/co-advised 5 PhD students for research on 3D integration and logic-in-memory architecture. Dr. Alam has over 80 issued US patents, and over 65 journal/conference publications.
Khairul Alam - Compound Semiconductor Devices
Electrical and Electronic Engineering, Bangladesh
Khairul Alam is a professor in the department of Electrical and Electronic Engineering at East West University, Dhaka, Bangladesh, where he has been a faculty member since 2007. His research interests lie in the area of physics, modeling, and quantum simulation of nano-scale MOSFETs and tunnel FET of III-V materials, compound semiconductors, heterostructures, and two dimensional materials and emerging semiconductor materials. Prof. Alam completed his Ph.D. at the University of California Riverside, USA and his MS and BS studies at Bangladesh University of Engineering and Technology (BUET), Bangladesh. He spent two years at the University of Tokyo, Japan as a post-doctoral research fellow and summer of 2006 at Intel, New York, USA as an intern. He published a book chapter, 34 journal papers and 26 conference papers. He received University Grants Commission award in 2009 for his research on graphene nanoribbon transistor. In addition to research, Prof. Alam teaches electronic and optoelectronic courses at the university. He served the director position of institutional quality assurance cell of East West University for three years. During his period, seven departments of East West University completed self-assessment report and external peer review. He served the external peer review team for quality assurance of a few universities in Bangladesh. He is also involved in outcome based education (OBE) and served the accreditation team of Board of Accreditation for Engineering and Technical Education (BAETE) for accreditation of engineering program in Bangladesh.
Manjeri (Anant) Anantram - Emerging Technologies
Department of Electrical Engineering, Washington, USA
M. P. Anantram (Anant) is a Professor of Electrical Engineering, and an Adjunct Professor of Physics at University of Washington. Anantram’s group at the University of Washington works on the study of charge transport in biological molecules, formation of filaments and phase change in devices, and on theory and algorithms for modeling nanoscale materials and devices. His group has developed some of the fastest methods to calculate electron density and current in devices using direct methods based on the NEGF approach. His group has also performed novel computational studies on the electromechanical properties of quasi one-dimensional nanowires and demonstrated the role of drain-end scattering in nanotransistors. His research efforts have predicted a significant chirality dependent bandgap change in carbon nanotubes and a large change in spontaneous emission rates in silicon nanowires with strain. More recently, his group is involved in developing methods to understand charge transport in biological molecules and their application in electronic devices and electrical methods for disease detection and sequencing. Anantram earned his B.Sc. in Applied Science from P.S.G. College of Technology (1983), M.Sc. in Physics from University of Pune (1989), and Ph.D. in Electrical Engineering from Purdue University (1995). Prior to joining University of Washington, his experience included working at the NASA’s Center for Nanotechnology and serving as Professor of Electrical Engineering at the University of Waterloo. Anantram has also served as an Associate Editor for IEEE Transactions on Nanotechnology and co-chair of Modeling and Simulation Committee of the IEEE Nanotechnology Council.
Marina Antoniou - Solid-State Power
School of Engineering, United Kingdom
Dr MarinaAntoniou (MA) is an Associate Professor in the School of Engineering at the University of Warwick, UK. Dr Antoniou studied Electrical and Information Engineering (BA, MEng) at Trinity College, University of Cambridge and holds a PhD from the University of Cambridge. She has been the holder of several highly competitive research fellowships and grants including the Royal Society Research Fellowship 2017 (SiC Power Devices for Smart Grid Systems) , a Junior Research Fellowship (Selwyn College, Cambridge) and an Early Career EPSRC Centre for Power Electronics award, all of which involved the design and development of power SiC or Si high power devices. Her work has been published as first authored papers in leading IEEE journals and has received awards at international conferences. She has written five patents and two book chapters.
Monica Blank - Vacuum Electron Devices
Microwave Power Products Division, California, USA
Monica Blank received the B.S. degree (Electrical Engineering) from the Catholic University of America, Washington, D.C. in 1988, and the M.S. and Ph.D. degrees (Electrical Engineering) in 1991 and 1994, respectively, from the Massachusetts Institute of Technology, Cambridge, MA. In 1994 she joined the Vacuum Electronics Branch of the Naval Research Laboratory, where she was responsible for the design and demonstration of high-power millimeter wave vacuum electronic devices for radar applications. In 1999 she joined the gyrotron team at Communications and Power Industries (formerly Varian) where she continues her work on high-power millimeter wave gyrotron amplifiers and oscillators. Dr. Blank has received several professional awards, including the 1998 Alan Berman Publication Award at Naval Research Laboratory, the Robert L. Woods Award for Excellence Vacuum Electronics Technology in 1999, and an R&D 100 Award in 2015. Dr. Blank has previously served several terms on IEEE Plasma Science and Applications Executive Committee, one term on the IEEE Nuclear and Plasma Sciences Society Administrative Committee, and was a Senior Editor for the IEEE Transactions on Plasma Science from 2009 – 2015.
Fabrizio Bonani - Device and Process Modeling
Dipartimento di Elettronica e Telecomunicazioni, Italy
Fabrizio Bonani received the Master (summa cum laude) and PhD degrees from Politecnico di Torino, Italy, in 1992 and 1995, respectively. Currently, he is Full Professor of Electronics at the Department of Electronics and
Mario Caironi - Molecular and Organic Devices
Center for Nano Science and Technology, Milano, Italy
Mario Caironi is an Electronic Engineer by training, with a master degree obtained in 2003 at Politecnico di Milano (Milan, Italy), where he also obtained his Ph.D. in Information Technology with honours in 2007. In 2007 he joined the group of Prof. Sirringhaus at the Cavendish Lab. (Cambridge, UK) as a post-doc, working for 3 years on high resolution printing of downscaled organic transistors and circuits, and on charge transport in high mobility polymers. In 2010 he was appointed as Team Leader at the Center for Nano Science and Technology@PoliMi (CNST) of the Istituto Italiano di Tecnologia (IIT, Milan, Italy). In 2014 he entered the tenure track at the same institution, obtaining tenure in 2019. He is co-founder and scientific advisors of the startups “Ribes Tech s.r.l.” (2016), commercializing indoor plastic photovoltaics, and “Fleep Technologies s.r.l.” (2019), commercializing printed microelectronics circuits. He is a 2014 ERC Starting grantee and a 2019 ERC Consolidator grantee. He is currently active in printed and flexible organic electronics for high-frequency applications, in organic and hybrid thermoelectrics, in printed organic bioelectronics and edible electronics for the healthcare and food industry.
Yogesh Singh Chauhan - Device and Process Modeling
Department of Electrical Eng., Kanpur, India
Talk titles:
· Modeling and Simulation of Negative Capacitance Transistors
· Compact Modeling of GaN HEMTs using industry standard ASM-HEMT model
· Physics and Modeling of FinFET and Nanosheet Transistors
· Analog and RF Modeling in BSIM-BULK model
· Physics and Modeling of FDSOI Transistors
Yogesh Singh Chauhan is an associate professor at Indian Institute of Technology Kanpur (IITK), India. He was with Semiconductor Research & Development Center at IBM Bangalore during 2007 – 2010; Tokyo Institute of Technology in 2010; University of California Berkeley during 2010-2012; and ST Microelectronics during 2003-2004. He is the developer of several industry standard models: ASM-GaN-HEMT model, BSIM-BULK model (formerly BSIM6), BSIM-CMG model and BSIM-IMG model. His research group is involved in developing compact models for GaN transistors, FinFET, Nanosheet/Gate-All-Around FETs, FDSOI transistors, Negative Capacitance FETs and 2D FETs. His research interests are characterization, modeling, and simulation of semiconductor devices.
He is the Editor of IEEE Transactions on Electron Devices and Distinguished Lecturer of the IEEE Electron Devices Society. He is the member of IEEE-EDS Compact Modeling Committee and fellow of Indian National Young Academy of Science (INYAS). He is the founding chairperson of IEEE Electron Devices Society U.P. chapter and Vice-chairperson of IEEE U.P. section. He has published more than 200 papers in international journals and conferences.
He received Ramanujan fellowship in 2012, IBM faculty award in 2013 and P. K. Kelkar fellowship in 2015, CNR Rao faculty award, Humboldt fellowship and Swarnajayanti fellowship in 2018. He has served in the technical program committees of IEEE International Electron Devices Meeting (IEDM), IEEE International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), IEEE European Solid-State Device Research Conference (ESSDERC), IEEE Electron Devices Technology and Manufacturing (EDTM), and IEEE International Conference on VLSI Design and International Conference on Embedded Systems.
EunMi Choi - Vacuum Electronic Devices
Department of Electrical Engineering, Ulsan, South Korea
EunMi Choi received the B.S, M.S., and Ph.D degrees in physics from Ewha Womans University (Seoul, South Korea) in 2000, POSTECH (Pohang, South Korea) in 2002, and Massachusetts Institute of Technology (Cambridge, MA, USA) in 2007, respectively. She was a post-doctoral researcher at Brookhaven National Laboratory (Upton, NY, USA) during 2008 and worked as a tool physicist at Schlumberger Technology Center (Houston, TX, USA) during 2009. Currently, she is an associate professor in the Department of Electrical Engineering at Ulsan National Institute of Science and Technology (UNIST), Ulsan, South Korea, where she has been a faculty member since 2010. She has led the THz Vacuum Electronics and Applied Electromagnetics (TEE) Laboratory as a principle investigator since then with research focus on the development of high frequency novel vacuum electronic devices. Her main contribution in the field includes high power vacuum electronics development (gyrotrons, TWTs, etc) and its application for remote detection of radioactive materials experimentally, and energy recirculating microfabricated vacuum electronics amplifier source development. Her current research interests span from development of electron beam based high power millimeter and THz sources, ultra compact THz sources at 300 GHz and beyond by means of micro-fabrication techniques, orbital angular momentum (OAM) beams generation for communication system and exotic electromagnetic waves generation, to their possible applications with novel techniques. She serves as a member of the IEEE Vacuum Electronics Technical Committee for the term 2021-2022. She has served many domestic and international conferences including a Co-Chair in the International Vacuum Electronics Conference (IVEC) 2019.
She is a recipient of 2006 IEEE Vacuum Electronics Conference (IVEC) & IVESC Best Student Paper Award. She received a Young Investigator Award in the Korean Institute of Electromagnetic Engineering and Science (KIEES) and a recipient of UNIST Rising-Star Distinguished Professor (2017-2020) at UNIST. In 2018, her research work was selected as Top 100 national R&D achievements in Korea. She received a national service merit medal from Korea Government in 2018.
Sukwon Choi - Compound Semiconductor Devices
Department of Mechanical Engineering, University Park, PA
Sukwon Choi is an Associate Professor of Mechanical Engineering with the Pennsylvania State University, University Park, PA, USA. His current research interests include the thermal characterization and electro-thermal co-design of ultra-wide bandgap semiconductor devices and piezoelectric microelectromechanical systems (MEMS), thermal management of microelectronics, and semiconductor device reliability. He received the B.S. and M.S. degrees in mechanical engineering (2005) and automotive engineering (2007), respectively, from Hanyang University, Seoul, Korea. He was a Research Staff with GS FuelCell Co., Ltd., Seoul, Korea in 2007. He received the Ph.D. degree in mechanical engineering from the Georgia Institute of Technology, Atlanta, GA, USA in 2013. From 2013 to 2015, he was a Post-Doctoral Appointee with the RF/Optoelectronics Department of Sandia National Laboratories, Albuquerque, NM, USA. He has been with the Pennsylvania State University, University Park, PA, USA since 2015. He received the NNSA Defense Programs Awards of Excellence in 2014 and the AFOSR Young Investigator Program Award in 2016. He was awarded the Kenneth K. and Olivia J. Kuo Early Career Professorship in 2018.
Christian Monzio Compagnoni - Memory Devices and Technology
Milano, Italy
Christian Monzio Compagnoni received the Laurea (cum laude) degree in Electronic Engineering and the Ph.D. degree in Information Technology from the Politecnico di Milano, Milan, Italy, in 2001 and 2005, respectively. Since 2006 he has been with the Dipartimento di Elettronica, Informazione e Bioingegneria, Politecnico di Milano, Milan, Italy, first in the capacity of Assistant Professor (from April 2006 to March 2015) and then of Professor of Electronic Engineering (since March 2015). Christian Monzio Compagnoni's research activities have been devoted to the basic physics involved in the operation and in the reliability of solid-state technologies for data storage, with emphasis on deca-nanometer NOR and NAND Flash memories and on emerging memories based on discrete traps. On these topics, he authored more than 100 papers published in international journals (among which more than 30 IEEE-TED papers) and conference proceedings and he holds 2 US patents. Christian Monzio Compagnoni was the recipient of 5 awards at the IEEE-IRPS ("Outstanding paper Award" in 2008, "Best Student Paper Award" in 2012, 2013 and 2014, and "Best Poster Award" in 2015) and served in the technical program committee of the IEEE-IRPS in 2009 ("Memory" committee), 2010 ("Memory" committee) and 2016 ("Memory and product IC reliability" committee).
John F. Conley - Thin Film Transistors
EECS, Oregon, USA
John F. Conley, Jr. received the B.S. in Electrical Engineering (1991) and a Ph.D. in Engineering Science and Mechanics (1995) from The Pennsylvania State University where he won a Xerox award for his PhD dissertation. Since 2007, Dr. Conley is a Professor of both Electrical Engineering and Computer Science and Materials Science at Oregon State University where he is the Director of the Materials Synthesis and Characterization (MASC) facility. He has also engaged as a technical consultant and expert witness. Previously, he was a senior member of the technical staff at both Dynamics Research Corporation and the NASA Jet Propulsion Laboratory, the Leader of the Novel Materials and Devices Group at Sharp Laboratories of America, and an adjunct professor at Washington State University.
He has served on the technical and organizing committees of numerous IEEE (IRPS, IRW, SOI, IEDM, NSREC, Nano, MRQW), AVS, and MRS meetings; as Program Chair of the AVS International Conference on Atomic Layer Deposition (ALD) and IEEE IRW; and multiple times as guest editor for IEEE T-DMR.
Dr. Conley's current research interests include atomic layer deposition (ALD) development of novel materials for application in metal/insulator/metal devices (MIM & MIIM tunnel diodes), MIM high-κ capacitors, and RRAM) and amorphous oxide semiconductor thin film transistors (TFTs), internal photoemission (IPE), nanomaterials, and sensors.
Dr. Conley has authored or co-authored over 150 journal and/or conference papers; over 160 additional conference presentations (including two tutorial short courses and more than 20 invited talks at international conferences); more than 40 invited talks at universities, government labs, and companies; and 20 U.S. patents.
He is a Fellow of the IEEE, the American Vacuum Society (AVS), and the Oregon Nanoscience and Microtechnologies Institute (ONAMI).
Giovanni Crupi - Solid-State Sensors and Actuators
Giovanni Crupi received a M.Sc. in Electronic Engineering (cum laude) and a Ph.D. from the University of Messina, Italy, in 2003 and in 2006, respectively. Since 2005, he has been a repeat Visiting Scientist with KU Leuven and IMEC, Leuven, Belgium. Currently, he is an Associate Professor with the University of Messina, Italy. His main research interests include the advanced characterization and modeling of electronics devices and sensors for bioengineering and microwave wireless applications. He has authored or coauthored about 250 publications in international journals and conferences. He has coedited two books published by Elsevier Academic Press: “Microwave De-embedding: From Theory To Applications” (Oxford, UK, 2013) and “Microwave Wireless Communications: From Transistor to System Level” (Oxford, UK, 2016). Dr. Crupi is Editor-in-Chief of the Wiley International Journal of Numerical Modelling: Electronic Networks, Devices and Fields, Section Editor-in-Chief of the MDPI Electronics, and Associate Editor of the IEEE Transactions on Electron Devices, IEEE Microwave and Wireless Technology Letters, and IEEE Access. He was TPC Chair of the IEEE INMMiC in 2014 and 2015. Since 2012, he is the Chair of the IEEE MTT-S Graduate Fellowship program, which awards up to 12 Annual Fellowships (each in the amount of 6000$ plus 1000$ to attend the IEEE MTT-S IMS in USA).
Pei-Ying (Penny) Du - Memory Devices and Technology
Emerging Central Lab., Hsinchu, Taiwan
Pei-Ying Du received her B.S. degree in engineering and system science from National Tsing-Hua University (NTHU), Hsinchu, Taiwan, in 2004, and Ph.D. degree in electrical engineering from National Chiao-Tung University (NCTU), Hsinchu, Taiwan, in 2009. In 2003, she won College Student Research Creativity Award from the National Science Council, Taiwan, and also won Kwoh-Ting Li Scholarship Award and King Kai-Yung Scholarship Award from CICT Foundation in 2004 and 2006, respectively. Her Ph.D. thesis was awarded by Honorable Mention of Institude of Electronics of NCTU in 2009. She joined the Emerging Central Lab. (ECL) in Macronix International Co., Ltd. (MXIC), Hsinchu, Taiwan, in 2006, where she engaged in the theoretical modeling and reliability physics of nitride trapping Flash memory. From March, 2010 to Jan., 2012, she was assigned to IBM/Macronix Phase Change Memory (PCM) Joint Project in IBM T.J. Watson Research Center, NY, and engaged in PCM reliability. She currently is the project deputy department manager of nano-technology R&D department and engages in developing 3D nitride trapping NAND Flash memory. Dr. Du has published more than 40 papers in the premier semiconductor conferences and journals including IEDM, Symposium on VLSI Technology, IRPS, IMW, T-ED, and etc. She is well-recognized in memory reliability territory because of her unique insights into the operations of nitride trapping memories. Dr. Du served in the program committee of International Memory Workshop (IMW) from 2012 to 2016, and she was also the Local Chair and the Short Course Chair of IMW, in 2014 and 2015, respectively. Now, she is the member of the Memory Technology (MT) sub-committee at International Electron Devices Meeting (IEDM).
Charvaka Duvvury - Reliability
Charvaka Duvvury received his PhD in engineering science from the University of Toledo and afterwards worked as a post-doctoral fellow in Physics at the University of Alberta in Edmonton, Canada, before joining Texas Instruments during 1977. His experience at Texas Instruments spanned for 35 years in semiconductor device physics with pioneering development work in ESD design. He was elected as TI Fellow in 1997 and as IEEE Fellow during 2008. He is currently working across the industry as a technical consultant on semiconductor reliability with particular emphasis on electro-static discharge (ESD) protection methods for integrated circuit (IC) devices. He is a recipient of the IEEE Electron Devices Society’s Education Award (2013), Outstanding Contributions Award from the EOS/ESD Symposium (1990), and Outstanding Industry Mentor Award twice from the Semiconductor Research Council (1994 and 2012). From 2004-2006 he served on the IEDM CMOS Reliability Sub-committee, and during 2001-2011 served as editor of the IEEE-TDMR. He is currently an active member of the EDS DL program. Charvaka has been serving on Board of Directors of the ESD Association (ESDA) since 1997 promoting ESD education and research at academic institutes. He served twice as General Chairman of the ESD Symposium. He is co-founder and co-chair of the Industry Council on ESD since 2006. During 2015 he became a co-founder of the iT2 Technologies that utilizes software engine for rapid ESD data analysis.
Jinjun Feng - Vacuum Electron Devices
Vacuum Electronics National Laboratory, Beijing, China
Jinjun Feng (M’94–SM’06) received the bachelor’s degree from Tsinghua University, Beijing, China, in 1988, and the master’s and Ph.D. degrees from the Beijing Vacuum Electronics Research Institute (BVERI), in 1990 and 2001, respectively. Since 1990, he has been with BVERI and has been involved with research and development of millimeter-wave space TWTs, high frequency devices using microfabrication, gyrotrons, field emission devices, and cesium tubes, where he is currently the Vice Director-General.
Dr. Feng has been a member of IEEE Electron Devices Society (EDS) Vacuum Electronics Technical Committee since 2010. He was the Chair of the IEEE EDS Beijing Chapter from 2011 to 2012 and the Chair of IEEE Beijing Section from 2014 to 2015, and has been the Chair of the IEEE China Council since 2016. He was a TPC Chair of the 16th International Vacuum Electronics Conference, in Beijing in 2015. He was a TPC Co-Chair of the 8th U.K., Europe, China Millimeter Waves and Terahertz Technology Workshop (UCMMT), Cardiff, U.K., in 2015, and a General Co-Chair of the 9th UCMMT, Tsingdao, China, in 2016. He delivers many plenary talks and session invited speech in the Conferences on vacuum electronics and millimeter wave technologies. He has published over 300 papers in Journals and Conference proceedings.
He is a fellow of Chinese Institute of Electronics (CIE), China, and a fellow of the IET, U.K.
Mamoru Furuta - Thin Film Transistors
Japan
Mamoru Furuta is a Professor in the School of Engineering Science, and a Director of Research Institute of Kochi University of Technology, Japan. He received the M.E. and the Ph. D degrees from the University of Electrocommunications, Japan in 1988 and the Nara Institute of Science and Technology, Japan in 2003, respectively. His current research interests are high performance and highly stable metal oxide semiconductor thin-film transistors (TFTs) and their application to displays and LSIs. In 1988-2004, he developed the low-temperature processed poly-Si (LTPS) TFTs in the central Research Laboratory of Panasonic, Japan. He had wide variety of job experiences from the R&D to mass production of LTPS displays. Since 2005, he has been working on the research of the metal oxide semiconductor TFTs in Kochi University of Technology, Japan. In 2006, he demonstrated the worlds’ first liquid crystal display driven by the oxide (ZnO) TFTs at the Society for Information Display (SID) 2006 International Symposium. He received the Distinguished Paper Award and the Special Recognition Award from the SID in 2006 and 2021, respectively. He is the Editor of the IEEE Transaction of Electron Devices (T-ED), the Applied Physics Express (APEX), and the Japanese Journal of Applied Physics (JJAP).
Lixin Ge - MOS Devices & Technology
Lixin Ge is a principal engineer at Qualcomm Inc., San Diego, California. He received his M.S. degree from National University of Singapore in 1997 and Ph.D. degree from University of Florida, Gainesville in 2002, both from electrical engineering. His research was focused on the modeling and design of double gate and SOI CMOS devices and circuits, emerging technologies and devices including FinFETs and gate-all-around MOSFETs, and design-technology co-optimization. Dr. Ge joined Qualcomm in 2008 as a SPICE modeling expert, and has been instrumental in supporting over 30 product designs which are now in volume production. He is currently leading 7nm/5nm FinFET SPICE modeling projects, and design-technology co-optimization for next generation mobile chip. He received Qualcomm Upendra Patel Achievement Award for the outstanding contributions towards 28nm technology commercialization and for enabling the first Snapdragon chipset MSM8960 commercial launches in 2012, and Qualcomm Super Qualstar Award in recognition of the contribution for going above and beyond in supporting 10nm technology in 2017. Prior to joining Qualcomm, Lixin held various positions at Freescale Semiconductor/Motorola, Texas Instruments, and Chartered Semiconductor (now Globalfoundries). He is a senior member of IEEE, and had authored and co-authored over 30 publications, and has 16 issued and 21 pending patents.
Elena Gnani - Emerging Technologies
Bologna, Italy
Elena Gnani received the M.S. degree in Electrical Engineering "summa cum laude" and the Ph.D degree in Electrical Engineering and Computer Science in 2003 with a dissertation entitled "Physical models for MOS nanostructures", both from the University of Bologna. She joined the Department of Electrical, Electronic and Information Engineering at the University of Bologna in 2004, where she is currently Associate Professor. Her research interests include the development of physical transport models in semiconductor devices and numerical-analysis techniques, with special emphasis on the study of quantum-confined devices, such as FinFETs, silicon nanowires (NW), steep-slope devices, quasi ballistic transport in nanoMOSFETs, as well as carrier injection in non-volatile memory cells. She has been involved in several National and European Projects. Her research activities have been carried out in cooperation with worldwide semiconductor research centers and semiconductor industries. E. Gnani is author or co-author of more than 180 papers published in referred international journals and in proceedings of major international conferences, and of several invited contributions, and has been involved in outstanding conferences such as IEDM, DATE, ESSDERC, EUROSOI-ULIS. She has been a member of the IEEE Electron Devices Society (EDS) from 2001, and is presently an IEEE Senior Member and EDS Distinguished Lecturer for Region8. She is also a member of the EDS Technology Computer Aided Design Committee and serves as an associate editor of the IEEE Transactions on Electron Devices.
Lecture Topics:
- Trends and Challenges in Nanoelectronics for the Next Decade
- Steep-slope devices: prospects and challenges
- Theoretical Analyses and Modeling for Nanoelectronics
- Tunnel FETs: Device Physics and Realizations
Samuel Graham - Thermal Management
Mechanical Engineering, MD, USA
Dr. Samuel Graham, Jr. is the Eugene C. Gwaltney, Jr. Professor in the Woodruff School of Mechanical at the at the Georgia Institute of Technology. He holds a joint appointment with the National Renewable Energy Laboratory. His current research centers on the development of electronics made from wide bangdap semiconductors (III-V, oxides) and organic electronics for a range of applications including displays, rf communications, power switches, neuromorphic computing, and flexible electronics. His research in the area of wide bandgap semiconductors addresses the engineering of the thermal response of the devices in order to enhance heat dissipation and improve device reliability. Key aspects of this work include thermal metrology of devices, understanding electrothermal phenomena, measuring thermal properties, and developing the understanding to create interfaces within the devices to control thermal transport. For neuromorphic devices, he is creating physics-based models and experimental tools to design the electro-thermal-ionic transport response that controls the set/reset behavior.
From 1999 to 2003, Dr. Graham was a Sr. Member of Technical Staff at Sandia National Laboratory in Livermore, CA where he worked on the development of optical coatings for EUV lithography systems. In 2003, he joined the Woodruff school of Mechanical Engineering at the Georgia Institute of Technology as an Assistant Professor. He was promoted to Professor in 2013 and is now the Eugene C. Gwaltney, Jr. Professor and School chair. He holds a B.S. in Mechanical Engineering from Florida State University (1993) and a Ph.D. in Mechanical Engineering (1999) from the Georgia Institute of Technology. Dr. Graham was a member of the Defense Science Study Group (2014-16) and is a member of the Review Board of the Engineering Science Research Foundation of Sandia National Laboratory and the Emerging Technologies Technical Advisory Group for the US Department of Commerce.
Tibor Grasser - Solid-State Device Phenomena (noise)
Institute fro Microelectronics, Wien, Austria
Prof. Tibor Grasser is an IEEE Fellow and currently head of the Institute for Microelectronics at TU Wien. He has edited various books, e.g. on the bias temperature instability and hot carrier degradation (both Springer), is a distinguished lecturer of the IEEE EDS, has been involved in outstanding conferences such as IEDM, IRPS, SISPAD, ESSDERC, and IIRW, is a recipient of the Best and Outstanding Paper Awards at IRPS (2008, 2010, 2012, and 2014), IPFA (2013 and 2014), ESREF (2008) and the IEEE EDS Paul Rappaport Award (2011).
Lecture Topics:
- Semiconductor device reliability (bias temperature instability, hot carrier degradation)
- Noise (RTN, 1/f)
- 2D devices (defects, hysteresis, drifts)
Jing Guo - Professor, Department of Electrical and Computer Engineering
Department of ECE , Gainesville, FL,
Jing Guo is currently a professor in Department of Electrical and Computer Engineering at University of Florida, Gainesville, FL, USA. His research work mainly focuses on modeling, simulation, and design of nanoscale electronic devices. His group has extensively explored device physics, assessed performance potentials, and developed new device concepts for nanoscale transistors based on carbon nanotubes, graphene, 2D materials and topological insulators, and memory cells based on ferroelectric materials. His group has developed efficient simulation methods for quantum-transport-based device simulations, and physics-based models for nanoscale transistors. More recently, his group has contributed to simulation of interconnects based on topological insulator materials and semiconductor-based quantum computing devices. He has also developed and contributed to some widely used simulation tools deployed on the nanoHUB, such as CNTbands. Jing Guo received his B.S. (1998) and M.S. (2000) degrees from Shanghai Jiao Tong University and Ph.D. degree in Electrical Engineering from Purdue University (2004). He served in the technical program committee of the International Device Research Meeting (IEDM) and Device Research Conference (DRC). He also serves as an associate editor of Nano-Micro Letters. He coauthored a book “Nanoscale Transistors: Device Physics, Modeling, and Simulation.” Published by Springer.
Xiaojun Guo - Optoelectronics, Display, Imaging
Xiaojun Guo is now Professor in Department of Electronic Engineering at Shanghai Jiao Tong University, China. He received the Bachelor degree from Jilin University (China) in 2002, and the Ph.D. degree from University of Surrey (UK) in 2007, both in electronic engineering. He worked on EDA for VLSIs in Department of Electronic Engineering at Tsinghua University during 2002-2003. His PhD research was on system-on-panel integration with low-temperature poly-Si TFTs. Before joining Shanghai Jiao Tong University in Aug. 2009, he had been working in Plastic Logic Ltd., Cambridge, UK, on research and development of printed polymer TFTs backplanes for flexible displays, and technology transfer for manufacturing. His group at Shanghai Jiao Tong University is now focusing on device and integration of printable thin film transistors and functional devices including displays, sensors and memories. He has authored or co-authored more than 60 technical papers in international journals and conference.
Lecture Titles
-Thin-fi lm transistor for Flexible Heterogeneous Integration
-Organic thin-fi lm Transistor for Display and Sensor Integration
-Low power Flexible Hybrid Integration for IoT
-Active-Matrix Backplane Design and Integration for Advanced Displays
Edmundo A. Gutierrez-D. - Solid State Device Phenomena; Emerging Technologies and Devices
for Astrophysics, Mexico
Dr. Edmundo A. Gutiérrez-D. Got his PhD in 1993 from the Catholic University of Leuven, Belgium with the thesis entitled “Electrical performance of submicron CMOS technologies from 300 K to 4.2 K”. From 1989 to 1993, while working for his PhD, served as a research assistant at the Interuniversity Microelectronics Center (IMEC) in Leuven, Belgium. In 1996 was guest Professor at Simon Fraser University, Vancouver, Canada. In 1996 spent two months as an invited lecturer at the Sao Paulo University, Brazil. In 2000 acted as Design Manager of the Motorola Mexico Center for Semiconductor Technology. In 2002 was invited lecturer at the Technical University of Vienna, Austria. In 2005 joined the Intel Mexico Research Center as technical Director. Currently he holds a Professor position at the National Institute for Astrophysics, Optics and Electronics (INAOE), in Puebla, Mexico. Prof. Gutiérrez-D. is an IEEE senior member since 2008.
Professor Gutiérrez-D. has published over 100 scientific publications and conferences in the field of semiconductor device physics, has supervised 5 M.Sc. and 10 Ph.D. thesis, and is author of the book “Low Temperature Electronics, Physics, Devices, Circuits and Applications” published by Academic Press in 2000. Prof. Gutiérrez-D. is member of the Mexico National System of Researchers and technical reviewer for the Mexico National Council for Science and Technology (CONACyT).
Sung-Min Hong - Device and Process Modeling
Sung-Min Hong received the B.S. degree in electrical engineering and the Ph.D. degree in electrical engineering and computer science from Seoul National University, Seoul, Korea, in 2001 and 2007, respectively. From 2007 to 2011, he was a Postdoctoral Researcher at the “Institut fuer Mikroelektronik und Schaltungstechnik,” Bundeswehr University, Neubiberg, Germany. From 2011 to 2013, he was a Staff Engineer at the Device Laboratory, SSI, San Jose, CA. He is currently an Assistant Professor with the School of Electrical Engineering and Computer Science, Gwangju, Korea. His main research interests include physics-based device modeling.
Mengyuan Hua - Compound Semiconductor Devices
Department of Electrical and Electronic Engineering, Shenzhen, China
Mengyuan Hua received her B.S. degree from Tsinghua University, Beijing, China in 2013, and PhD degree from the Hong Kong University of Science and Technology, Hong Kong in 2017. Dr. Hua joined Southern University of Science and Technology (SUSTech) in 2018, where she is currently an assistant professor in the Department of Electrical and Electronic Engineering. Mengyuan Hua’s research activities have been devoted to wide-bandgap (WBG) semiconductors and devices, with emphasis on GaN-based power device engineering and ICs, and on device reliability and stability. On these topics, she authored or co-authored over 90 publications in international journals (among which more than 25 IEEE-TED/EDL/TPE papers) and conference proceedings. Her work also produced 6 patents. She received the Charitat Award at the IEEE International Symposium on Power Semiconductor Devices and ICs (ISPSD) in 2017. In 2020, she received the Best Young Scholar Paper Award at the IEEE International Conference on Solid-State and Integrated Circuit Technology.
Muhammad Mustafa Hussain
Muhammad Mustafa Hussain (Professor, ECE, Purdue University) pioneered vFabLab for equitable access to semiconductor training. His initiatives, including Chips Changing the World, STARS, VICTORS, Berkeley Engineering Innovates, Winter Camp on Microelectronics Gadget, IEEE EDS Workshop on Sustainable Electronics, Podcast with EDS Luminaries, Nano-scholarship, Semiconductor Fabrication 101, etc. have aided over 30,000 learners. His research spanning UC Berkeley, KAUST, SEMATECH and Texas Instruments focuses on futuristic electronics. With 22 supervised PhD graduates, 425+ research papers, and patents, he is a Fellow of IEEE, APS, and IoP. Awarded the CES 2020 Best Innovation Award, his research is frequently celebrated in global media.
Lecture Topics:
- MOS Devices and Technology
Benjamin Iniguez - Senior Member
Benjamin Iñiguez obtained the Ph D in Physics in 1992 and 1996, respectively, from the Universitat de les Illes Balears (UIB). From February 1997 to September 1998 he was working as a Postdoctoral Researcher at the Rensselaer Polytecnhnic Institute in Troy (NY, USA). From September 1998 to January 2001 he was working as a Postdoctoral Scientist in the Université catholique de Louvain (Louvain-la-Neuve, Belgium), supported by two Marie Curie Fellowships from the European Commission. In February 2001 he joined the Department of Electronic, Electrical and Automatic Control Engineering (DEEEiA)of the Universitat Rovira i Virgili (URV), in Tarragona, Catalonia, Spain) as Titular Professor. In February 2010 he became Full Professor at URV. He obtained the Distinction from the Generalitat for the Promotion of University Research in 2004 and the ICREA Academia Award (the highest award for university professors in Catalonia, from ICREA Institute) in 2009 and 2014, for a period of 5 years each. He led one EU-funded project (“COMON”, 2008-12) devoted to the compact modeling of nanoscale semiconductor devices and he is currently leading one new EU-funded project (DOMINO, 2014-18) targeting the compact modeling of organic and oxide TFTs. His main research interests are the characterization, parameter extraction and compact modelling of emerging semiconductor devices, in particularorganic and oxide Thin-Film Transistors, nanoscale Multi-Gate MOSFETs and GaN HEMTs. He has published more than 150 research papers in international journals and more than 130 abstracts in proceedings of conferences.
Lecture Topics
Compact device modeling
Semiconductor device parameter extraction
Physics of Thin-Film Transistors
Graphene and TMD devices
Karol Kalna - Device and Process Modeling
Jinfeng Kang - Memory Devices and Technology
Jinfeng Kang received his B.S. degree in physics from Dalian University of Technology in 1984, and M.S. and Ph.D degrees in solid-state electronics & microelectronics from Peking University in 1992 and 1995 respectively. From 1996 to 1997 he worked on the new oxides applications in microelectronics at Institute of Microelectronics in Peking University as a post-doctoral fellow. In 1997 he joined the faculty first as an associate professor then professor in 2001. From 2002 to 2003, he was invited to work on high-k/metal gate technology at SNDL in National University of Singapore as a visiting professor. He is now a Full Professor of Electronics Engineering Computer Science School in Peking University. His research interest is to explore novel device concepts, structures, materials, circuits, and the system architectures for the applications of future computing and data storage systems. He has published over 200 conference and journal papers, and was speaker of more than 30 invited talks such as IEDM, ASP-DAC, MRS.
Brajesh Kumar Kaushik - Senior Member
Dr. Brajesh Kumar Kaushik (S’07–M’09–SM’13) received his Ph.D. degree in 2007 from Indian Institute of Technology Roorkee, India. He joined Department of Electronics and Communication Engineering, Indian Institute of Technology, Roorkee, as Assistant Professor in December 2009; and since April 2014 he has been working as an Associate Professor. He has authored and reviewed several research publications in renowned journals, national and international conferences. He is a Senior Member of IEEE and holds the position of Editor and Editor-in-Chief of various journals in the field of VLSI and microelectronics. He has received many awards for his significant contribution to the scientific community. His research interests include spintronics-based devices and circuits, high-speed interconnects low-power VLSI design, memory design, carbon nanotube-based designs, organic electronics, FinFET device circuit co-design.
Lecture Topics
- Spintronics based Quantum Computing Architecture
- Neuromorphic Computing Using Non-volatile Memory
- Graphene based Interconnect Modelling
- Spintronics-Perspectives and Challenges
- Modeling and Application of FinFET
Tae-Hun Kim
Tae-Hun Kim was born in Korea in 1973. He is a principal engineer working on Flash memory development at Semiconductor R&D Center, Samsung Electronics. He received B.S. and M.S. degree from School of Electrical Engineering, Seoul National University, Seoul, Korea, in 1999 and 2001, respectively. He received Ph.D. degree at the same university in 2006. In 2006, he joined Samsung Electronics Co., Hwasung, Korea, where he has been engaged in the development of NAND flash memories. During the developments of planar NAND flash down to 1x nm technology node, his main focus was on the device design, process development, failure analysis, and chip operation development. He has been working on the device development of 3 dimensional NAND flash since 2011 when he joined the project developing 2nd generation 3 dimensional NAND Flash. Currently he is working on the product development of next generation 3 dimensional NAND and his interests are the design of 3 dimensional NAND cell transistor and new scheme of the device for the future NAND.
Woo Soo Kim
Dr. Woo Soo Kim is an Associate Professor at the School of Mechatronic Systems Engineering in Simon Fraser University (SFU), located in British Columbia Canada. He was selected in 2017 as a Brain Pool Fellow for his sabbatical visit at Seoul National University in Korea and stayed at Swiss Federal Laboratories of Materials Science and Testing, Empa in ETH Domain as a visiting professor in 2018. Prior to joining SFU, he was a Senior Research Scientist in Xerox Corporation (XRCC) for two years after the period of a Post-doctoral Research Associate in Massachusetts Institute of Technology (MIT) for two and half years. He received BSc degree from Yonsei University in 2001 and MSc and PhD degrees from Korea Advanced Institute of Science and Technology (KAIST). He was the winner of Quadrant Award 2007. And he received Hanwha Corporation’s New Faculty Award in 2016. His research interest is broad in the field of Additive Manufacturing for flexible electronic applications. He has published widely about 100 publications including journal papers, conference proceedings, and US patents. www.sfu.ca/~woosook
Tsunenobu Kimoto
Tsunenobu Kimoto received the B.E. and M.E. degrees in Electrical Engineering from Kyoto University, Japan, in 1986 and 1988, respectively. He joined Sumitomo Electric Industries, LTD in 1988. In 1990, he started his academic career as an Assistant Professor at Kyoto University, and received the Ph.D. degree from Kyoto University in 1996, based on his work on silicon carbide (SiC). From 1996 to 1997, he was a visiting scientist at Linköping University, Sweden, and since 2006 he has been a Professor at Department of Electronic Science and Engineering, Kyoto University.
His main research activity includes SiC (growth, characterization, process technology (MOS, ion implantation, etc.), power devices, and high-temperature devices), nano-scale Si and Ge devices, and oxide materials for resistive switching memories. He is a Fellow of IEEE and JSAP.
Hagen Klauk - Molecular and Organic Devices
Hagen Klauk (S’97–M’99) received the Diplom-Ingenieur degree in electrical engineering from Chemnitz University of Technology, Germany, in 1995 and the Ph.D. degree in electrical engineering from the Pennsylvania State University in 1999. From 1999 to 2000, he was a Postdoctoral Researcher with the Center for Thin Film Devices at the Pennsylvania State University. In 2000, he joined Infineon Technologies, Erlangen, Germany. Since 2005, he has been head of the Organic Electronics group at the Max Planck Institute for Solid State Research, Stuttgart, Germany. His research focuses on flexible electronics based on organic semiconductors, carbon nanotubes and inorganic semiconductor nanowires.
Lalit Kumar - Vacuum Electron Devices
Rihito Kuroda - Image Sensors
Rihito Kuroda received the B.S. degree in electronic engineering and the M.S. and Ph.D. degrees in management science and technology from Tohoku University, Sendai, Japan, in 2005, 2007, and 2010, respectively. He was a Research Fellow of the Japan Society for the Promotion of Science Research from 2007 to 2010. Since 2010, he is with the Graduate School of Engineering, Tohoku University, where he is currently an Associate Professor. He is engaged in researches on process, device, design and characterization of advanced CMOS image sensors. He received the 2016 nac High Speed Imaging Award. He has been serving as a committee member of IEDM in since 2015, IEEE Sensors in 2014-2016 and Electronic Imaging since 2015 and several other international conferences, and a board director of the International Image Sensor Society since 2017.
Sung-Min Lee - Optoelectronics, Display, Imaging
Department of Electrical Engineering, Korea
Sung-Min Lee is currently an Associate Professor in the Department of Electrical Engineering at Hanyang University in South Korea. He received a B.S. degree from Seoul National University, South Korea in 2006, and M.S./Ph.D. degrees from Korea Advanced Institute of Science and Technology, South Korea in 2008/2012, respectively, all in electrical engineering. Prior to joining Hanyang University, he was a Postdoctoral Research Associate at University of Southern California in the United States from 2013 to 2015, a Research Staff Member at Samsung Advanced Institute of Technology in South Korea from 2015 to 2016, and an Assistant/Associate Professor at Kookmin University from 2016 to 2023. He also served as a Visiting Assistant Professor at Terasaki Institute for Biomedical Innovation in the United States in 2022.
His research interests have been on emerging optoelectronic devices and systems with unusual functions and enhanced performance. He has been studying (i) free-form displays and lightings, (ii) flexible/wearable/transparent semiconductor photovoltaics, (iii) optical sensor systems, and (iv) biocompatible electronics. He has given several invited talks and lectures at conferences and seminars, and authored over 40 peer-reviewed journal articles (H-index = 22). Since 2021, he has served as an Asian Program Committee Member of Society for Information Display (SID) and Technical Program Committee Session Chair of Global Photovoltaic Conference (GPVC).
Rosa Letizia
Rosa Letizia received the Laurea degree in Electronic Engineering from the Polytechnic of Bari, Bari, Italy, and the Ph.D. degree in computational photonics from the University of Leeds, Leeds, U.K., in 2005 and 2009, respectively. In 2011, she joined the Engineering Department, LancasterUniversity, Lancaster, U.K., and the Cockcroft Institute of Accelerator Science and Technology, Warrington, U.K., where she has been a Senior Lecturer since 2019. Her research focuses on the design, fabrication and test of electromagnetic structures for the design of millimeter wave and THz vacuum electron devices and high frequency particle acceleration. Her expertise includes the development of computational modelling of complex electromagnetic structures from the millimetre-wave to the optical frequency range of the spectrum. She has published in excess of 90 peer-rewieved international journal and conference papers. In 2019, she was the recipient of the Senior Research Fellowship from The Leverhulme Trust and Royal Academy of Engineering. She has served as member of the Technical Committee for the U.K., Europe, China Millimeter Waves and Terahertz Technology Workshop (UCMMT) from 2013 to 2015 and the International Vacuum Electronic Conference (IVEC) in 2017. She is an IEEE Senior Member and serves as an associate editor for the IEEE Transactions on Electron Devices.
Lei Liao - Emerging Technologies
College of Semiconductors (College of Integrated Circuits), China
Prof. Liao is the Dean and Professor in College of Semiconductors (College of Integrated Circuits), Hunan University, and he has carried out the studies on the heterogeneous integration and device architecture based on 2D semiconductors and metal oxide thin film transistors. He received his B.S. and PhD degrees, both in Physics, Wuhan University, from 2000 to 2009. From 2009 to 2011, he worked as a postdoctoral researcher in Prof. Xiangfeng Duan’s group at University of California Los Angeles. So far, he has published more than 200 papers, including Nature, Nature Electron., Nature Commun., and so on. Meanwhile, all these papers have been cited more than 17000 times, and the corresponding H-index is 77.
Chien-Chung Lin - Optoelectronic Devices
Department of Electrical Engineering, Taiwan
Chien-Chung Lin received the B.S. degree in electrical engineering from the National Taiwan University in 1993, and the M.S. and Ph.D. degrees in electrical engineering from Stanford University, Stanford, CA, USA, in 1997 and 2002, respectively. His thesis work focused on design, modeling, and fabrication of micromachined-tunable optoelectronic devices. He joined National Taiwan University in August, 2021 as professor of Graduate Institute of Photonics and Optoelectronics and Department of Electrical Engineering. From 2009 to 2021, he was with National Chiao Tung University (NCTU), Tainan, Taiwan, where he started as an assistant professor and became a full professor. The major research efforts in his group are in design and fabrication of novel semiconductor optoelectronic devices, including LEDs, solar cells, and lasers. Since 2016, he has been jointly appointed by the Industrial Technology Research Institute (ITRI), where he participates and leads several key projects in the micro LEDs, 3DIC, and silicon photonics. Before joining NCTU, he worked for different start-ups in the United States. After graduating from Stanford in 2002, he joined E2O Communications Inc., Calabasas, CA, USA, as a Senior Optoelectronic Engineer. His main research interest is in the development of long-wavelength vertical cavity surface emitting lasers. In 2004, he joined Santur Corporation, Fremont, CA, where he initially worked as a member of technical staff then became Manager of Laser Chip Engineering later. He had worked on various projects such as monolithic multi-wavelength DFB Laser arrays for data and telecommunications applications, yield and reliability analysis of DFB Laser arrays, etc. From 2015 to 2017, he was the recipient of the Young Investigator Research Grant by Ministry of Science and Technology of Taiwan. From 2019 to 2020, he served as the chairperson of the Taipei chapter of the IEEE Photonics Society. He also served as the Optoelectronic Devices Committee member of the IEEE Electron Device Society between 2020 and 2021. He has more than 280 journal and conference publications and is a Fellow of the OPTICA (formerly the Optical Society of America) and a senior member of the IEEE.
Shibing Long - Compound Semiconductors
School of Microelectronics
Shibing Long received his Ph.D. degree in the Institute of Microelectronics, Chinese Academy of Sciences (IMECAS) in 2005 and had worked here till 2018. Currently he is a professor in School of Microelectronics at University of Science and Technology of China (USTC). He has been involved in the research areas of memories and wide bandgap semiconductor devices. His group at USTC is now focusing on the power devices and photodetectors based on gallium oxide. Up to date, he has published more than 100 papers, 5 book chapters and holds more than 100 patents. He is a Senior Member of IEEE and a Committee Member of IEDM 2021-2022.
Javier Mateos - Solid-State Phenomena
Farid Medjdoub - Compound Semiconductors
Head of WIND Group, France
Farid Medjdoub is a CNRS senior scientist and leads the research group WIND focused on wide bandgap material and devices at IEMN in France. He received his Ph.D. in Electrical engineering from the University of Lille in 2004. Then, he moved to the University of Ulm in Germany as a research associate before joining IMEC as a senior scientist in 2008. His research interests are the design, fabrication, characterization, and simulation of innovative wide bandgap devices. Multiple state-of-the-art results have been realized in the frame of his work. Among others, world record thermal stability up to 1000°C for a field effect transistor, best combination of cut-off frequency / breakdown voltage or highest lateral GaN-on-silicon breakdown voltage using a local substrate removal have been achieved. He is author and co-author of more than 200 papers in this field. He holds several patents deriving from his research. He has been leading the Nitride power activities within the French national network called GaNexT starting from 2019.
Matteo Meneghini - Compound Semiconductor Devices
Department of Information Engineering, Padova, Italy
Matteo Meneghini received his PhD in Electronic and Telecommunication Engineering (University of Padova), working on the optimization of GaN-based LED and laser structures. He is now associate professor at the Department of Information Engineering at the University of Padova. His main interest is the characterization, reliability and modeling of compound semiconductor devices (LEDs, laser diodes, high electron mobility transistors), electronic and optoelectronic components, solar cells. Within these activities, he has published more than 400 journal and conference proceedings papers. He has given invited and tutorial talks to several conferences, including IEEE-International Electron Device Meeting (IEDM), IEEE-International Reliability Physics Symposium (IRPS), International Workshop on Nitride Semiconductors (IWN), International Conference on Nitride Semiconductors (ICNS), Photonics West. He is/has been sub-committee member for relevant conferences in the field of electronics (IEEE-IEDM, IEEE-IRPS, ESREF, IWN, ESSDERC) and sub-committee chair for IEEE-IRPS and ESREF.
Pritish Narayanan - Memory Devices and Technology
Pritish Narayanan received the BE(Hons) and MSc(Hons) degrees from the Birla Institute of Technology and Science (BITS), Pilani, India, and his PhD in Electrical and Computer Engineering from the Univeristy of Massachusetts Amherst. He joined IBM Research – Almaden as a Research Staff Member in 2013 as part of the Storage Class Memory project, where he investigated device and circuit design challenges for access devices used in 3D crosspoint memory. His current research interests are in the area of ultra-high-performance hardware systems for Artificial Intelligence. His work focuses on novel non-Von Neumann architectures based on emerging non-volatile memory, and he is the lead circuit architect for several deep learning test sites based on Phase Change Memory (PCM) and mixed-signal hardware.
Dr. Narayanan has presented two keynotes (International Memory Workshop 2017, Cool Chips 2018) and a tutorial session (Device Research Conference 2017), in addition to several invited talks. He won Best Paper Awards at IEEE Computer Society Symposium on VLSI 2008 and at Nanoarch 2013. He has also been a Guest Editor for the Journal of Emerging Technologies in Computing, the Program Chair at IEEE Nanoarch 2015, Special Session Chair for IEEE Nano 2016 and served on the Technical Program Committees of several conferences.
Barry O'Sullivan - Reliability
Advanced Reliability Robustness and Testing Department, Belgium
Barry J. O’Sullivan is a Principal Member of Technical Staff in the Device Reliability and Electrical characterization (DRE) group of IMEC, Leuven, Belgium. He received the B.Sc. degree in Applied Physics from University of Limerick, Ireland (1998), and M.Eng.Sc. (2000) and Ph.D. (2004) degrees in Microelectronics, from the Tyndall Institute, Cork, Ireland, for studies characterizing defects at silicon/dielectric interfaces. He performed postdoctoral studies at IMEC, Leuven, Belgium, on charge and defect quantification for high-permittivity dielectric/metal gate integration in advanced gate stacks, before working with Matsushita Electric on low power device characterisation and reliability for the 45 / 32 nm CMOS technology nodes. He subsequently worked on the high efficiency silicon solar cell project at IMEC, incorporating design, fabrication, characterisation of heterojunction and rear contact photovoltaic devices. More recently, his research focus changed to include reliability and characterization of advanced devices for logic, photonic and memory applications, which included logic devices incorporated in the DRAM and NAND periphery, emerging memory and more recently extended to reliability of GaN HEMT devices for RF / 5G applications. He has authored or co-authored more than 170 conference and journal publications, one book chapter, and two patents.
Lucio Pancheri
Lucio Pancheri received the M.Sc. degree (summa cum laude) in Materials Engineering and the Ph.D. in Information and Communication Technologies from the University of Trento, Italy, in 2002 and 2006, respectively. From 2006 to 2012 he has been a research scientist at Fondazione Bruno Kessler (FBK), Italy, within the Integrated Radiation and Image Sensors (IRIS) research unit. In 2012 he joined the Department of Industrial Engineering at the University of Trento where he is currently Associate Professor of Electronics. His research activity has been mainly directed towards the development of CMOS integrated Single Photon Avalanche Diodes and image sensors for Time-of-Flight ranging and scientific imaging. His research interests also include radiation and charged-particle imaging detectors for high-energy physics, medical and space applications, hybrid organic-CMOS photodetectors and gas sensors. He has authored or co-authored more than 140 papers in international journals and in the proceedings of international conferences.
Jin-Seong Park - Thin Film Transistors
Jin-Seong Park received the B. S. degree from the Department of Material Science and Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Republic of Korea, in 1997, and the M. S. degree and Ph. D. degree in Plasma Enhanced Atomic Layer Deposition from KAIST in 1999 and 2002, respectively. From 2003 to 2005, he was a post-doctor in Chemistry and Chemical Biology, Harvard University, Cambridge, USA. Then, he started to work as a senior researcher to develop Advanced Thin Film Transistor such based on organic semiconductor and amorphous oxide semiconductor for (flexible) active matrix organic light emitting display (AMOLED) in Samsung SDI (2005-2009) and Samsung Mobile Display (2008-2009, Before Samsung Display), respectively. From 2009 to 2013, he was an Assistant Professor with the Department of Materials Science and Engineering, Dankook University, Cheonan, Republic of Korea. Since March 1, 2013, he has been with the Division of Material Science and Engineering, Hanyang University, Seoul, Republic of Korea, where he was first an Associated Professor and is currently a full-tenured professor. His main research interests include the development of the functional thin film (such as Atomic Layer Deposition) and devices for flexible / transparent / wearable electronics. He is also interested in the development of high-mobility semiconductors, and in the atmosphere pressure process for next-generation electronics (Semiconductor & Display Industry). Dr. Park is a member of Korean Information Display Society, Society of Information Display, Material Research Society of Korea and so on. he has been served as an Executive committee in Thin Film Division of American Vacuum Society as well as International Atomic Layer Deposition Conference.
Marcelo Pavanello - Senior Member
Marcelo Antonio Pavanello (S´99-M´02-SM´05) received the Electrical Engineering degree from FEI University in 1993, receiving the award “Instituto de Engenharia” given for the best student among all the modalities of engineering programs offered at FEI. He received the M. Sc. and Ph. D. degrees in 1996 and 2000, respectively, in Electrical Engineering (Microelectronics) from University of São Paulo, Brazil. From August to December 1998 he was with Laboratoire de Microélectronique from Université Catholique de Louvain (UCL), Belgium, working in the fabrication and electrical characterization of novel channel-engineered Silicon-On-Insulator (SOI) transistors. From 2000 to 2002 he was with the Center of Semiconductor Components and Nanotechnologies, State University of Campinas, Brazil, where he worked as a post-doctoral researcher in the development of a CMOS n-well process. Since 2003 he joined FEI University where he is now Full Professor at Electrical Engineering Department. In 2008 he has been with UCL as a visiting professor. Dr. Pavanello is Senior member of The IEEE and Brazilian Microelectronics Society. He is also Research Associate to the National Council for Scientific Development (CNPq), Brazil. Since 2007 he serves as IEEE Electron Devices Society (EDS) Distinguished Lecturer and has been nominated to the Compact Modeling Technical Committee of EDS in 2018. He is author and co-author of more than 300 technical papers in peer-reviewed journals and conferences, and author/editor of 6 books. Dr. Pavanello coordinates several research projects fomented by Brazilian agencies like FAPESP, CNPq and Capes. He also supervised several Ph. D. dissertations, M. Sc. thesis and undergraduate projects in Electrical Engineering. His current interests are the compact modeling, fabrication, electrical characterization and simulation of SOI CMOS transistors with multiple gate configurations and silicon nanowires; the wide temperature range of operation of semiconductor devices; the digital and analog operation of novel channel-engineered SOI devices and circuits.
Lecture Topics:
1- Performance of Silicon-On-Insulator Nanowire and Nanosheet MOSFETs In a Wide Temperature Range
2- Operation of SOI Planar and Nanowire MOSFETs Down to Cryogenic Temperatures
3- Performance and Compact Model Junctionless Nanowire Transistors
Jamie Phillips - Optoelectronics Devices
Jamie Phillips is currently Professor and Chair of the ECE Department at the University of Delaware. He received his B.S., M.S. and Ph.D. degrees in Electrical Engineering at the University of Michigan. He was a postdoctoral researcher at Sandia National Labs from 1998-1999 and research scientist at the Rockwell Science Center from 1999-2001 before returning to the University of Michigan as a faculty member in 2002. At the University of Michigan, he was an Arthur F. Thurnau Professor in the EECS Department prior to joining the University of Delaware in 2020. His expertise is in the growth, characterization, and device applications of compound semiconductor and oxide-based materials for optoelectronics and electronics where he has published more than 130 peer-reviewed journal articles. Prof. Phillips received an NSF CAREER award, DARPA MTO Young Faculty Award, IEEE Paul Rappaport Best Paper Award, and IEEE Theodore E. Batchman Best Paper Award.
Sarita Devi Prasad - Vacuum Electron Devices
Sarita Prasad received her Associate’s degree in Electrical Engineering in 1999 from Niihama National College of Technology under the Japanese Government Scholarship. She received her B.S degree and M.S degrees from Nagaoka University of Technology in 2001 and 2003, respectively. She joined University of New Mexico (UNM) in 2003 and acquired her Ph.D. degree in Electrical Engineering in 2010. Her Ph.D. thesis work was on “Fast Start of Oscillations in a Short Pulse Magnetron Driven by a Transparent Cathode”. Dr. Prasad specializes in conducting both simulations and experimental demonstration of relativistic High Power Microwave (HPM) Devices which include relativistic magnetrons, backward wave oscillators, virtual cathode oscillators and metamaterials for HPM generation. Upon receiving her doctorate degree she worked at UNM as a Research Assistant Professor. During her tenureship she managed the Pulsed Power, Beams and Microwaves Laboratory at the Department of Electrical and Computer Engineering. She was the recipient of two DURIP (Defense University Research Instrumentation) awards and the AFOSR (Air Force Office of Scientific Research) award on “Pushing the Frontiers of Relativistic Magnetrons”. In 2016 she was hired as a Physicist by Raytheon to serve as a Test Lead on the trouble-shooting and experimental validation of a critical HPM system for which she received recognition for her outstanding contributions. She also aided other HPM-related experimental work and was the recipient of an IRAD (Internal Research and Development) award. In August, 2018 she joined Sandia National Laboratories (SNL) as a Senior RF and Sensor Engineer where she designed two complete HPM systems. She was the recipient of the SNL LDRD (Lab Directed Research and Development) award on “Phase-locking of a High Power Magnetron with a Low Amplitude Injected Signal”. Currently she is the President and CEO of IMS-Pro, LLC (Innovative Microwave System Prototypes) which she established in January, 2020 with the aim of commercializing the HPM technology. She also holds a LAT (Letter of Academic Title) at UNM as a Research Associate Professor. To date she has published over 75 conference papers and presentations. She has been a member of IEEE for 19 years. In 2015 she served as the TPS Guest Editor for Special Issues on “Atmospheric Plasmas and Applications”. She was the Technical Area Chair for “Microwaves and Plasma Interactions” for the ICOPS (International Conference on Plasma Science) Conference in 2017. She is the Treasurer for ICOPS 2023.
Wataru Saito
Wataru Saito received the B.S., M.S., and Ph.D. degrees in electrical and electronics engineering from Tokyo Institute of Technology, Tokyo, Japan, in 1994, 1996, and 1999, simultaneously. He joined Discrete Semiconductor Division, Toshiba Corporation Semiconductor Company, Kawasaki, Japan, in 1999, where he has been engaged in the development of power semiconductor devices. Since 2019, he is currently a Professor with Research Institute for Applied Mechanics, Kyushu University, Fukuoka, Japan.
Ivan Sanchez Esqueda - Emerging Technologies
School of Electrical, Computer and Energy Engineering, USA
Andries J. Scholten - Device and Process Modeling
Andries J. Scholten received the M.Sc. and Ph.D. degrees in experimental physics from Utrecht University, The Netherlands, in 1991 and 1995, respectively. In 1996, he joined Philips Research Laboratories (now NXP Semiconductors), Eindhoven, The Netherlands, where he has worked on compact MOS modeling for circuit simulation, with a focus on the modelling of thermal noise and non-quasi-static effects. He has contributed to the development and industrialization of well-known compact MOSFET models such as MOS Model 9, MOS Model 11, and the world-standard PSP model. His current research is directed towards RF CMOS and HBT reliability and reliability simulation.
Shyh-Chiang Shen - Optoelectronic Devices
School of Electrical and Computer Engineering, Atlanta, GA
Kuang Sheng - Solid-State Power
College of Electrical Engineering, China
Kuang Sheng received the B.Sc. degree from Zhejiang University, Hangzhou, China, in 1995, and the Ph.D. degree from Heriot-Watt University, Edinburgh, U.K., in 1999. He has worked in Cambridge University and was a tenured associate professor in Rutgers University. He is currently a distinguished professor and the Dean of the Electrical Engineering College in Zhejiang University, China. He has worked on IGBT modeling, SiC super-junction devices and, in general, all aspects of SiC and GaN power devices and modules. He has published over 300 papers in academic journals and conferences. He holds 50 patents in power semiconductor devices and ICs. He was the Technical Program Chair of ISPSD 2015, the General Chair of ISPSD 2019 and is an AdCom member of the ISPSD.
David Sheridan - High Voltage and Power Devices
Mayank Shrivastava - Compound Semiconductor Devices
India
Biography: Prof. Mayank Shrivastava is a faculty member at the Indian Institute of Science, Bangalore, and co-founder of AGNIT Semiconductors Pvt. Ltd. He is also instrumental in setting up a 300 Crore worth GaN prototyping Fab and leading a national effort on 2D material’s technology hub. He received his Ph.D. degree from the Indian Institute of Technology Bombay (2010). For his Ph.D. work, he received Excellence in Research award and the Industrial Impact award from IIT Bombay in 2010. He is among the first recipients of the Indian section of the American TR35 award (2010) and the first Indian to receive IEEE EDS Early Career Award (2015). He is also an Editor of IEEE Transactions on Electron Devices. Besides, he is an IEEE Electron Device’s Society (EDS) Distinguished Lecturer and an elected member of the IEEE EDS Board of Governors. He is the recipient of the prestigious DST Swarnjayanti Fellowship (2021), Abdul Kalam Technology Innovation National Fellowship from INAE-SERB (2021), and the VASVIK award (2021). He has received several other national awards and honors of high repute, like the National Academy of Sciences, India, (NASI) Young Scientist Platinum Jubilee Award – 2018; Indian National Academy of Science (INSA) Young Scientist Award - 2018; Indian National Academy of Engineering (INAE) Innovator Entrepreneur Award 2018 (Special commendation); Indian National Academy of Engineering (INAE) Young Engineer Award - 2017; INAE Young Associate (since 2017); Indian Academy of Sciences (IASc), Young Associate, 2018 – 2023; Ministry of Electronics & Information Technology (MeitY), Young Faculty Fellowship. Besides, he received best paper awards from several international conferences like Intel Corporation Asia academic forum, VLSI design Conference and EOSESD Symposium. Prof Shrivastava broadly works on applications of emerging materials like Gallium Nitride (GaN), atomically thin two-dimensional materials like Graphene and TMDCs, in electronic and electro-optic devices working closer to its fundamental limits (like the ability to handle extreme powers, ability to work at THz like ultra-high frequencies, or ability to compute information in unconventional ways). Currently, his group is developing few-atom thick devices & circuits, GaN-based ultra-high-power devices with high reliability, and devices/circuits for operation at THz frequencies. Besides, his group also works on developing novel ESD and High Voltage device concepts in advanced CMOS nodes. He held visiting positions in Infineon Technologies, Munich, Germany, from April 2008 to October 2008 and again from May 2010 to July 2010. He worked for Infineon Technologies, East Fishkill, NY, USA; IBM Microelectronics, Burlington, VT, USA; Intel Mobile Communications, Hopewell Junction, NY, USA; Intel Corp, Mobile and Communications Group, Munich, Germany between 2010 and 2013. He joined the Indian Institute of Science as a faculty member in the year 2013. Prof Shrivastava’s work has resulted in over 200 peer-reviewed publications (47 of these papers are in IRPS and IEDM, the two most prestigious conferences of IEEE EDS, and around 100 are in journals such as IEEE T-ED) and 47 patents. Most of these patents are either licensed by semiconductor companies or are in use in their products. More details are available at https://faculty.dese.iisc.ac.
David Simon - Vacuum Electron Devices
New Mexico, USA
Konstantin Stefanov - Image Sensors
United Kingdom
Konstantin D. Stefanov is a Senior Research Fellow at the Centre for Electronic Imaging (CEI), The Open University, UK. He obtained the M.Sc. in applied physics from Sofia University, Bulgaria, in 1994, and a PhD in physics from Saga University, Japan, in 2001. From 2001 to 2008 he was a research scientist at STFC Rutherford Appleton Laboratory, where he developed CCD and CMOS-based sensors for particle physics applications. From 2008 to 2012 he was a technology developer at Sentec Ltd., Cambridge, UK, working on a variety of sensors for commercial and industrial applications as a part of a scientific and electronic engineering consultancy. Since 2012 he has been working at the CEI, focusing on CMOS image sensor characterization and design for space and scientific applications. His research interests are in the areas of CMOS image sensors covering wavelengths from infrared to X-rays, CCD-in-CMOS devices, high performance CCDs, semiconductor physics, device simulations, radiation damage effects and their influence on device performance, detector electronics and data acquisition systems. He has authored or co-authored one book, two book chapters, more than 140 peer-reviewed and conference proceedings papers, and 6 patents.
Jun Suda - Solid-State Power
Department of Electronics, Japan
Jun Suda received the B.E. (1992), M.E. (1994) and Ph. D. (1997) degrees from Kyoto University. From 1992 to 1997, he worked on molecular-beam epitaxy (MBE) and structural and optical characterization of ZnMgSSe strained quantum well structures for short-wavelength optoelectronics. In 1997, he began research on group-III nitrides (III-Ns) and SiC for electron device applications as a Research Associate at Kyoto University. Since 2017, he has been a Professor at Department of Electronics, Nagoya University. He is a Director of Transformative Electronics Facilities (C-TEFs) at Nagoya University. He has authored or co-authored over 300 publications in peer-reviewed journals and international conferences. His research activity includes growth, characterization, process technologies, power devices and high-frequency devices of group-III nitrides and related materials. He is a Fellow of The Japanese Society of Applied Physics (JSAP).
Charles Surya - Optoelectronics Devices
Charles Surya received his PhD in Electrical Engineering from the University of Rochester in 1987. From 1987 to 1994 he was associated with the Electrical and Computer Engineering Department of Northeastern University. He joined the Electronic and Information Engineering (EIE) Department in 1994 and remained there since. Professor Surya’s research interests are: optoelectronic materials and devices including MOCVD growth of GaN thin films and the study of GaN-based LEDs and UV detectors; growth of organic-inorganic hybrid perovskite materials and the fabrication of advanced perovskite based photovoltaic cells; and low-frequency noise in electron devices. Presently, Professor Surya is spearheading a collaborative effort between The Hong Kong Polytechnic University and the City of Dongguan, China for the establishment of an R&D Center on the study of photovoltaic materials, devices and systems. He became a full professor of the Department in 2002andsince 2013hewas appointed Clarea Au Endowed Professor in Energy. Professor Surya had served in various administrative posts including Associate Head of the EIE Department (2002-2005), Associate Dean of the Faculty of Engineering (2007 – 2010) and the Acting Dean of the Faculty of Engineering (2010 – 2012) of The Hong Kong Polytechnic University. While serving as the Associate Dean and Acting Dean of the Faculty he was responsible for the implementation of outcome-based approach in the Engineering Faculty. From 2007 – 2013 Professor Surya was the The Hong Kong Polytechnic University representative to the Hong Kong University Grants Council Panel for Outcome-based Education to oversee the implementation of Outcome-based Approach among the Engineering Faculties in Hong Kong. He had been active in EDS and had served in various capacities including conference co-chair and chapter chair in the past. He is presently serving as the Chairman of the Optoelectronic Devices Technical Committee.
Jianshi Tang - Emerging Technologies
School of Integrated Circuits, Beijing
Dr. Jianshi Tang is currently an Associate Professor in the School of Integrated Circuits at Tsinghua University. He received his BS degree from Tsinghua University in 2008 and PhD degree from University of California, Los Angeles (UCLA) in 2014. From 2015 to 2019, he worked at IBM T. J. Watson Research Center. His research interest broadly involves emerging memory and neuromorphic computing, monolithic 3D integration, carbon electronics, etc. He has published more than 140 journal articles and conference proceedings, and held over 40 patents. He has also received several awards including the MIT Technology Review “35 Innovators Under 35” China, NT18 “Best Young Scientist Award”, IEEE Brain Best Paper Award, IEEE “Best Lightning Talk”, and IBM Invention Achievement Awards. He is an IEEE senior member, and served as the Technical Program Committee Member for IEDM, EDTM, IEEE-NANO, CSTIC, etc.
Paragkumar Thadesar - MOS Devices and Technology
5745 Pacific Center Blvd San Diego California 92121-1714
Paragkumar A. Thadesar received the B.E. degree in electronics and communication engineering with a gold medal from V.V.P. Engineering College, Rajkot, Gujarat, in 2009, and the M.S. and Ph.D. degrees in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, GA, USA, in 2011 and 2015, respectively. His Ph.D. research was focused on electrical design, fabrication and high-frequency characterization of through-silicon vias (TSVs) and radio-frequency (RF) platforms for 2.5-dimensional integration using silicon interposers. Dr. Thadesar is an RF front-end IC/module design engineer at Qualcomm, San Diego, since 2015. His research interests include interconnects, advanced packaging and radio-frequency integrated circuits. Dr. Thadesar received the IBM Ph.D. Fellowship Award from 2014 to 2015, the Outstanding Interactive Presentation Paper Award at the IEEE Electronic Components and Technology Conference in 2013, a Best-in-Session Award at Semiconductor Research Corporation TECHCON, Austin, TX, USA, in 2013, the third place Microelectronics Foundation Prize at IMAPS Device Packaging, Fountain Hills, AZ, USA, in 2013, and the best student paper awards at the Global Interposer Technology Workshop in 2011 and 2012. Dr. Thadesar is an Associate Editor of the IEEE Transactions on Components, Packaging and Manufacturing Technology and the chapter chair for the IEEE San Diego Electronics Packaging Society (EPS) chapter.
Dina Triyoso - MOS Devices and Technology
Albany, USA
Dina is a Technologist & Member of Technical Staff at TEL Technology Center, America, LLC. She received her PhD in Chemical Engineering from Texas A&M University. Her research is focused on new materials and new process technologies including ALD, CVD and selective deposition processes for future logic and memory devices. Prior to joining TEL in 2019, Dina held various positions at Motorola/Freescale as well as Globalfoundries, working on process and integration of new materials in CMOS (planar, FINFET and FDSOI). During her 10 years at Motorola/Freescale, she received Distinguished Author and Distinguished Innovator awards. Dina has 34 issued US patents and over 120 publications. She has been an IEEE Senior Member since 2006 and an IEEE volunteer since 2004, starting with the IEEE EDS local chapter in Austin Texas. Dina has served as committee members on a number of IEEE conferences. Currently she is part of the executive committee for IEDM conference and IEEE ICICDT conference.
Yukiharu Uraoka - Thin Film Transistors
Yukiharu Uraoka was born in Tokushima, Japan, in 1961. He received the B.S., M.S and Ph.D. degrees in electrical and electronics engineering from Toyohashi University of Technology, Aichi, Japan, in 1983,1985 and 1994, respectively.
In 1985, he joined Panasonic Company, Ltd., Osaka, Japan, where he has been engaged in the research of the reliability of CMOS devices and low temperature poly-Si display devices. Since 2009, he has been a Professor of the Graduate School of Material Science, Nara Institute of Science and Technology, Nara, Japan. His current research interest involves the fabrication of thin film devices using Si film, metal oxide film for flexible device. He is also interested in memory or LSI for AI (Artificial Intelligence). He is a fellow of The Japan Society Applied Physics (JSAP). Senior member of IEEE.
Runsheng Wang - MOS Devices and Technology
Runsheng Wang (S’07-M’11) received the B.S. and Ph.D. (highest honors) degrees from Peking University, Beijing, China, in 2005 and 2010, respectively. From November 2008 to August 2009, he was a Visiting Scholar with Purdue University, West Lafayette, IN, USA. He joined Peking University in 2010, where he is currently an Associate Professor at the Institute of Microelectronics. He has authored/coauthored 1 book, 3 book chapters, and over 100 scientific papers, including more than 30 papers published in International Electron Devices Meeting (IEDM) and Symposium on VLSI Technology (VLSI-T). He has been granted 12 US patents and 29 Chinese patents. His current research interests include nanoscale CMOS devices and characterization, circuit and device interaction, and emerging technologies for new-paradigm computing. Dr. Wang was awarded the IEEE EDS Early Career Award by the IEEE Electron Device Society (EDS), NSFC Award for Excellent Young Scientists by the National Natural Science Foundation of China (NSFC), Natural Science Award (First Prize) by the Ministry of Education (MOE) of China, and many other awards. He serves on the Editorial Board of Scientific Reports, and SCIENCE CHINA: Information Sciences, and has served on the Technical Program Committee of many IEEE conferences, including IEDM, IRPS, ICSICT, IPFA, INEC, etc.
Shireen Warnock - Compound Semiconductors.
Lincoln Laboratory, USA
Dr. Shireen Warnock is a technical staff member in the RF Technology Group at MIT Lincoln Laboratory. Her research interests include III-V materials systems, device characterization, and reliability.
Prior to joining the Laboratory, Shireen was a graduate student at the Massachusetts Institute of Technology (MIT), where her research focused on the dielectric reliability of gallium nitride metal-insulator-semiconductor high electron mobility transistors for power applications.
Dr. Warnock has authored or co-authored a number of journal and conference publications in the areas of gallium nitride device reliability. She currently serves on the Wide Bandgap sub-committee and the Management Committee for the IEEE International Reliability Physics Symposium.
She received BS, MEng, and PhD degrees in electrical engineering from the Massachusetts Institute of Technology.
Hei Wong - Senior Member
Hei Wong received his B.Sc. degree in electronics from the Chinese University of Hong Kong and Ph.D. in electrical and electronic engineering from the University of Hong Kong. Dr. Wong joined the faculty of the Department of Electronic Engineering at City University of Hong Kong in 1989 and is currently a full professor of the Department. He was a visiting professor of Tokyo Institute of Technology, Japan and a chair professor of Zhejiang University, China. Dr. Wong was the chair for the IEEE ED/SSC Hong Kong Joint Chapter during 2002-2003. He is a member of the international steering committees, technical program committees, and organizing committees for many international and local conferences. Dr. Wong has served as editor or guest editor for many journals including Microelectronics Reliability (Elsevier), IEEE Transactions on Electron Devices, IEEE Transactions on Nanotechnology. He served as Regional Editor for IEEE EDS Newsletter during 2003-2009. He has served as a Distinguished Lecturer for IEEE EDS since 2002. Dr. Wong has worked on MOS device modeling and characterization, hot-electron effects, low-frequency noise, thin dielectric film physics, IC process modeling and characterization, MOS integrated circuit designs, solid-state sensors. He is author or co-author of four books and over 350 papers including over 170 journal papers and dozen journal review papers. In particular, he is a co-author for the book: Guide to State-of-the-Art Electron Devices which was jointly published by Wiley and IEEE for celebrating the 60th anniversary of the IRE electron devices committee and the 35th anniversary of the IEEE Electron Devices Society. He has presented many invited talks and keynote speeches at numerous international conferences. Hei Wong received his B.Sc. degree in electronics from the Chinese University of Hong Kong and Ph.D. in electrical and electronic engineering from the University of Hong Kong. Dr. Wong joined the faculty of the Department of Electronic Engineering at City University of Hong Kong in 1989 and is currently a full professor of the Department. He was a visiting professor of Tokyo Institute of Technology, Japan and a chair professor of Zhejiang University, China. Dr. Wong was the chair for the IEEE ED/SSC Hong Kong Joint Chapter during 2002-2003. He is a member of the international steering committees, technical program committees, and organizing committees for many international and local conferences. Dr. Wong has served as editor or guest editor for many journals including Microelectronics Reliability (Elsevier), IEEE Transactions on Electron Devices, IEEE Transactions on Nanotechnology. He served as Regional Editor for IEEE EDS Newsletter during 2003-2009. He has served as a Distinguished Lecturer for IEEE EDS since 2002. Dr. Wong has worked on MOS device modeling and characterization, hot-electron effects, low-frequency noise, thin dielectric film physics, IC process modeling and characterization, MOS integrated circuit designs, solid-state sensors. He is author or co-author of four books and over 350 papers including over 170 journal papers and dozen journal review papers. In particular, he is a co-author for the book: Guide to State-of-the-Art Electron Devices which was jointly published by Wiley and IEEE for celebrating the 60th anniversary of the IRE electron devices committee and the 35th anniversary of the IEEE Electron Devices Society. He has presented many invited talks and keynote speeches at numerous international conferences. Hei Wong received his B.Sc. degree in electronics from the Chinese University of Hong Kong and Ph.D. in electrical and electronic engineering from the University of Hong Kong. Dr. Wong joined the faculty of the Department of Electronic Engineering at City University of Hong Kong in 1989 and is currently a full professor of the Department. He was a visiting professor of Tokyo Institute of Technology, Japan and a chair professor of Zhejiang University, China. Dr. Wong was the chair for the IEEE ED/SSC Hong Kong Joint Chapter during 2002-2003. He is a member of the international steering committees, technical program committees, and organizing committees for many international and local conferences. Dr. Wong has served as editor or guest editor for many journals including Microelectronics Reliability (Elsevier), IEEE Transactions on Electron Devices, IEEE Transactions on Nanotechnology. He served as Regional Editor for IEEE EDS Newsletter during 2003-2009. He has served as a Distinguished Lecturer for IEEE EDS since 2002. Dr. Wong has worked on MOS device modeling and characterization, hot-electron effects, low-frequency noise, thin dielectric film physics, IC process modeling and characterization, MOS integrated circuit designs, solid-state sensors. He is author or co-author of four books and over 350 papers including over 170 journal papers and dozen journal review papers. In particular, he is a co-author for the book: Guide to State-of-the-Art Electron Devices which was jointly published by Wiley and IEEE for celebrating the 60th anniversary of the IRE electron devices committee and the 35th anniversary of the IEEE Electron Devices Society. He has presented many invited talks and keynote speeches at numerous international conferences. Hei Wong received his B.Sc. degree in electronics from the Chinese University of Hong Kong and Ph.D. in electrical and electronic engineering from the University of Hong Kong. Dr. Wong joined the faculty of the Department of Electronic Engineering at City University of Hong Kong in 1989 and is currently a full professor of the Department. He was a visiting professor of Tokyo Institute of Technology, Japan and a chair professor of Zhejiang University, China. Dr. Wong was the chair for the IEEE ED/SSC Hong Kong Joint Chapter during 2002-2003. He is a member of the international steering committees, technical program committees, and organizing committees for many international and local conferences. Dr. Wong has served as editor or guest editor for many journals including Microelectronics Reliability (Elsevier), IEEE Transactions on Electron Devices, IEEE Transactions on Nanotechnology. He served as Regional Editor for IEEE EDS Newsletter during 2003-2009. He has served as a Distinguished Lecturer for IEEE EDS since 2002. Dr. Wong has worked on MOS device modeling and characterization, hot-electron effects, low-frequency noise, thin dielectric film physics, IC process modeling and characterization, MOS integrated circuit designs, solid-state sensors. He is author or co-author of four books and over 350 papers including over 170 journal papers and dozen journal review papers. In particular, he is a co-author for the book: Guide to State-of-the-Art Electron Devices which was jointly published by Wiley and IEEE for celebrating the 60th anniversary of the IRE electron devices committee and the 35th anniversary of the IEEE Electron Devices Society. He has presented many invited talks and keynote speeches at numerous international conferences.
Lecture Topics:
-Scaling of High-k Dielectric Films to Subnanometer EOT Range
-More Moore and More than Moore
-Non-ideal Effects of MOS Nanowire Transistors
Kejun Xia - Bipolar Devices
Hsinchu, Taiwan
Kejun Xia (Senior Member, IEEE) received the Ph.D. degree in Electrical Engineering from Auburn University, Auburn, AL, USA in 2006 and the MBA degree from Arizona State University, Phoenix, AZ, USA in 2022. He started his career in semiconductor at Maxim Integrated, Beaverton, OR, USA in 2006, where he later served as a Senior Principal Member of Technical Staff leading the modeling activities for the advanced BCD & SiGe BiCMOS technologies. From 2014 to 2015, he was with the Analog & Sensor BU at Freescale Semiconductor as a modeling manager, where he expanded his experience to automotive BCD technologies. From 2016 to 2021, he was with NXP semiconductors first as a manager for device modeling and later as a director responsible for HV and analog technology development. Currently, he is working in the product engineering division in TSMC as a deputy director, expanding his experience to GaN, HV display, RF CMOS, CIS, MEMS, Silicon photonics as well as the advanced logic technologies.
Dr. Xia’s research interests include device physics, process, product yield, compact modeling, model and its interaction with analog circuits. He has published many technical papers in renowned journals and conferences. He had been a member of IEEE compact modeling committee. He has been a frequent reviewer for IEEE Transactions on Electron Devices, IEEE Electron Device Letters, and Solid-state electronics. He had been on the technical program committees for the IEEE EDTM conference. Currently he is an editor of IEEE Transactions on Electron Devices.
Yang Xu - Emerging Technologies
College of Microelectronics, China
Prof. Yang Xu is an IEEE NTC Distinguished Lecturer (2022 & 2023), Fellow of the Institute of Physics (FInstP), Fellow of the Royal Society of Chemistry (FRSC), Fellow of the Institute of Materials, Minerals and Mining (FIMMM), and IEEE EDS Senior Member. He received his B.S. degree in Institute of Microelectronics at department of EE from Tsinghua University, M.S. and Ph.D. degrees in ECE from the University of Illinois Urbana-Champaign (UIUC), USA. He is a full professor at the School of Micro-Nano Electronics, Zhejiang University, China. He was also a visiting-by-Fellow of Churchill College at the University of Cambridge, UK, and a visiting professor at the University of California Los Angles (UCLA). He has published more than 150 papers including Nature Electronics, Nature Nanotechnology, Nature Photonics, Chemical Reviews, Advanced Materials, Nano Letters, ACS Nano, IEEE-EDL, IEEE-TED, IEEE-TNANO, IEEE-JEDS, and IEDM, etc. He authored one Wiley book titled as《Graphene for Post-Moore Silicon Optoelectronics》. He holds over 30 granted patents and gave more than 50 talks in international conferences. He also served as TPC of IEEE-EDTM and IEEE-IPFA conferences, and is technical committee member of IEEE EDS optoelectronic devices and IEEE NTC nanoelectronics (TC6). His current research interests include emerging 2D/3D integrated nano-devices and image sensors for Internet-of-Everything and Post-Moore Ubiquitous Electronics.
Jianbin Xu - Emerging Technologies
Department of Electronic Engineering
Jianbin Xu obtained his B. S. degree in Physics and M. S. degree in Information Physics from Nanjing University, Nanjing, Jiangsu, China, in 1983 and 1986, respectively. Afterwards he was privileged to pursue his PhD (Dr. rer. nat.) study at University of Konstanz, Germany, from 1988 to 1993. Upon his graduation, he joined the Department of Electronic Engineering, The Chinese University of Hong Kong (CUHK). He became a Faculty Member of the Department in the summer of 1994, first as Assistant Professor. Since 2002, he has been promoted to a Professor in the Department. He has been named as Choh-Ming Li Chair Professor of Electronic Engineering by The Chinese University of Hong Kong, from August 2022.
His research interests are largely concentrated on emerging technologies and nanotechnology for electron devices, namely 2D materials and devices; scanning probe techniques for electronics; advanced energy science and technology; interface engineering for materials and devices; physics and technology of organic semiconductors; functional and electronic oxides; perovskite optoelectronics, etc.
Dr. Xu has assumed numerous duties in various IEEE conferences and committees associated with IEEE Electron Devices Society (EDS) and IEEE Nanotechnology Council (NTC). Particularly, he served as the Treasurer of EDS Hong Kong Chapter for ten years, and a Member of Fellow Committee of NTC twice. He is a Fellow of IEEE, and currently serving several funding agencies and award committees, including Hong Kong RGC Engineering Panel for GRF/ECS and New Cornerstone Program as well as Xplore Prize.
Chen Yang - Sensors and Actuators
Wilmington, MA
Chen Yang received his B. S. degree and Ph.D. degree in Electronic Science and Technology from Tsinghua University, Beijing, China, in 2003 and 2008, respectively. He was a Postdoctoral Researcher at University of California at Berkeley from 2009 to 2010. Then he joined Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, as an Associate Professor. From 2013 to 2016, he worked as an Assistant Project Scientist at the Berkeley Sensors and Actuators Center (BSAC), University of California at Berkeley. Since 2016, he is with Analog Devices, Inc. in advanced MEMS development team. His research interests include MEMS physical and biological sensors, energy storage devices, RF passive devices and nano-materials. Dr. Yang was the recipients of the IEEE Electron Devices Society (EDS) Early Career Award in 2010, and the IEEE ElectronDevices Society Ph.D. Student Fellowship Award in 2007. He has been serving as TPC member of IEEE RFIC Symposium since 2012. He served as the vice chair of IEEE EDS Regions 4-6 SRC and member of the EDS Membership Committee. He was the tutorial chair of 2009 Silver Jubilee Conference on Communication Technologies and VLSI Design (CommV) at Vellore, Tamil Nadu, India, the secretary of the 3rd Japan-China-Korea Joint Conference on MEMS/NEMS (JCK MEMS/NEMS 2012) at Shanghai, China, and the secretary of 2007 International Workshop on Electron Devices and Semiconductor Technology (IEDST 2007) at Beijing, China. He was the founding Chair of IEEE Electron Devices Society Tsinghua University Student Branch Chapter at Beijing, China.
Darrin J. Young - Solid-State Sensors and Actuators
Bo Zhang - Solid-State Power and High Voltage Devices
Jing Zhang - Optoelectronic Devices
Kate Gleason College of Engineering, USA
Dr. Jing Zhang is currently an Associate Professor in the Department of Electrical and Microelectronic Engineering at Rochester Institute of Technology. She obtained B.S. degree in Electronic Science and Technology from Huazhong University of Science and Technology (2009), and Ph.D. degree in Electrical Engineering from Lehigh University (2013). Dr. Zhang’s research focuses on developing highly efficient III-Nitride and GaO semiconductor based photonic, optoelectronic, and electronic devices. Her research group is working on the development of novel quantum well active regions and substrates for enabling high-performance ultraviolet (UV) and visible LEDs/ lasers, as well as engineering of advanced device concepts for nanoelectronics. Specifically, her work has focused on high-efficiency micro-LEDs, vertical III-Nitride nanowire transistors for monolithic integration, UV and DUV LEDs and lasers, and nanowire optoelectronics. For synergistic activities, she has served as the member of IEEE EDS Optoelectronic Devices Technical Committee, CLEO (Conference on Lasers and Electro-Optics) technical sub committee on Semiconductor Lasers, and IEEE Photonics Conference Technical Commitee for Light Sources. Dr. Zhang has published more than 40 refereed journal papers and 70 conference proceedings including invited talks. She is a recipient of Texas Instruments/Douglass Harvey Faculty Development Award, and National Science Foundation (NSF) CAREER Award.
Yuji Zhao - Solid-State Power
Electrical & Computer Engineering, USA
Dr. Yuji Zhao is an Associate Professor at Rice University and the director of the Rice WIDE Lab. He received the Ph.D. degree from University of California Santa Barbara (UCSB) under the guidance of Nobel Laureate Professor Shuji Nakamura. Dr. Zhao'sresearch interests are in the area of wide and ultrawide bandgap semiconductor devices for energy efficiency, power and RF electronics, and quantum photonics applications.He has published 4 book chapters, over 100 journal papers, over 100 conference proceedings and presentations, and over 20 patents.His awards include the 2019 Presidential Early Career PECASE Award from the White House, which is the highest honor given by the US government to early-career scientists and engineers. He is also a recipient of TMS Frontiers of Materials Award, MIT TR35 Award, DTRA Young Investigator Award, NASA Early Career Faculty Award, and several best paper awards. He is a committee member of IEEE EDSOptoelectronic DevicesCommittee. He also serves on the conference committee of Device Research Conference (DRC), CLEO, and ECS Meeting.
- Publications
- Publications Committee
- Publication Representatives
- IEEE Guidelines for Authors
- Editorials for Authors and Reviewers
- EDS Newsletter
- IEEE Electron Devices Magazine
- Journal of Photovoltaics
- Transactions on Device and Materials Reliability
- Transactions on Semiconductor Manufacturing
- Journal on Flexible Electronics
- Journal of Lightwave Technology
- Transactions on Materials for Electron Devices
- Open Journal on Immersive Displays
- Electron Device Letters
- Journal of the Electron Devices Society
- Transactions on Electron Devices
- Journal of Microelectromechanical Systems
- Journal on Exploratory Solid-State Computational Devices and Circuits
- Journal of Electronic Materials
- EDS 50th Anniversary Booklet
- 75th Anniversary of the Transistor Book
- Electron Devices Reviews